Summary

In Situ Zeitabhängige Dielectric Breakdown im Transmissionselektronenmikroskop: eine Möglichkeit, die Fehlermechanismus in mikroelektronischen Bauelementen Verstehen

Published: June 26, 2015
doi:

Summary

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. This paper demonstrates the procedure of an in situ TDDB experiment in the transmission electron microscope, which opens a possibility to study the failure mechanism in microelectronic products.

Abstract

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. The aggressive scaling of feature sizes, both on devices and interconnects, leads to serious challenges to ensure the required product reliability. Standard reliability tests and post-mortem failure analysis provide only limited information about the physics of failure mechanisms and degradation kinetics. Therefore it is necessary to develop new experimental approaches and procedures to study the TDDB failure mechanisms and degradation kinetics in particular. In this paper, an in situ experimental methodology in the transmission electron microscope (TEM) is demonstrated to investigate the TDDB degradation and failure mechanisms in Cu/ULK interconnect stacks. High quality imaging and chemical analysis are used to study the kinetic process. The in situ electrical test is integrated into the TEM to provide an elevated electrical field to the dielectrics. Electron tomography is utilized to characterize the directed Cu diffusion in the insulating dielectrics. This experimental procedure opens a possibility to study the failure mechanism in interconnect stacks of microelectronic products, and it could also be extended to other structures in active devices.

Introduction

Da Cu-Leiterbahnen wurden zunächst in den ultra-Großintegration (ULSI) Technologie im Jahr 1997 1 eingeführt, low-k- ​​und Ultra-low-k (ULK) Dielektrika sind in die Back-End-of-line verabschiedet (BEoL) als Dämmstoffe zwischen on-Chip-Verbindungen. Die Kombination aus neuen Materialien, zB Cu für reduzierten Widerstand und Low-k / ULK Dielektrika für niedrigere Kapazität, überwindet die Effekte der erhöhten Widerstands-Kapazitäts (RC) Verzögerung durch Verbindungs ​​Maßschrumpfung 2, 3 entstehen. Jedoch wurde dieser Vorteil griffen durch die anhaltende aggressive Skalierung der Mikroelektronik in den letzten Jahren. Die Verwendung von Low-k / ULK Materialien führt in verschiedenen Herausforderungen in das Herstellungsverfahren und für die Zuverlässigkeit des Produkts, insbesondere wenn die Verbindungs ​​Tonhöhe ungefähr 100 nm oder weniger beträgt 4-6.

TDDB bezieht sich auf die physikalischen Versagensmechanismus eines dielektrischen Materials als eine Funktion der Zeit,unter einem elektrischen Feld. Die TDDB Zuverlässigkeitstest wird gewöhnlich unter beschleunigten Bedingungen (erhöhte elektrische Feld und / oder erhöhter Temperatur) durchgeführt.

Die TDDB in On-Chip-Verbindungsstapel ist einer der kritischsten Ausfallmechanismen für die mikroelektronischen Vorrichtungen, die bereits intensive Bedenken hinsichtlich der Zuverlässigkeit Gemeinschaft angehoben hat. Es wird auch weiterhin im Rampenlicht an Zuverlässigkeit Ingenieure seit ULK Dielektrika mit noch schwächeren elektrischen und mechanischen Eigenschaften werden in die Geräte in Spitzentechnologie-Knoten integriert sein.

Dedizierte Experimente wurden durchgeführt, um den Fehlermechanismus TDDB 7-9 zu untersuchen, und ein erheblicher Aufwand investiert wurde, um Modelle, die die Beziehung zwischen dem elektrischen Feld und der Lebensdauer der Vorrichtungen 10-13 beschreiben entwickeln. Die vorhandenen Studien profitieren die Gemeinschaft der Zuverlässigkeit Ingenieure in der Mikroelektronik; jedoch viele challenges noch vorhanden sind und viele Fragen müssen noch im Detail zu beantworten. Zum Beispiel, um bewährte Modelle der physikalischen Versagensmechanismus und Abbaukinetik im TDDB Prozess zu beschreiben und die jeweiligen experimentellen Überprüfung fehlen noch. Als ein besonderer Bedarf wird eine geeignetere Modell benötigt, um die konservative √E-Modell 14 zu ersetzen.

Als ein sehr wichtiger Teil des TDDB Untersuchung ist typisch Fehleranalyse vor einer beispiellosen Herausforderung, das heißt, die umfassende und harte Beweise, um die Physik der Ausfallmechanismen und Abbaukinetik zu erklären. Anscheinend Inspektion Millionen von Vias und Meter von nanoskaligen Cu Linien eine nach der anderen, und Ex-situ-Bildgebung der Ausfall Seite ist nicht die richtige Wahl, um diese Hürde Herausforderung, denn es ist sehr zeitaufwendig und nur begrenzte Informationen über die Kinetik der Schadensmechanismus bereitgestellt werden kann. Daher wurde eine dringende Aufgabe entstanden, um eine Entwicklungnd Experimente zu optimieren und um ein besseres Verfahren zu bekommen, um die Versagensmechanismen TDDB und Abbaukinetik zu studieren.

In diesem Artikel zeigen wir Ihnen, eine in situ experimentelle Methodik, um die TDDB Fehlermechanismus in Cu / ULK Verbindungsstapel zu untersuchen. Eine TEM mit der Fähigkeit, mit hoher Bildqualität und der chemischen Analyse verwendet, um die kinetischen Prozess auf dedizierte Teststrukturen zu untersuchen. Die in situ-E-Test in den TEM-Experiment integriert, um einen erhöhten elektrischen Feldes an die Dielektrika bereitzustellen. Eine maßgeschneiderte "Spitze-Spitze" Struktur, bestehend aus vollständig gekapselt Cu-Leiterbahnen und isoliert von einem ULK Material wird in der 32-nm-CMOS-Technologie-Knoten ausgelegt. Die hier beschriebenen experimentellen Verfahren kann auch mit anderen Strukturen in der aktiven Geräte erweitert werden.

Protocol

1. Vorbereitung der Probe für die Focused Ion Beam (FIB) Verdünnung (Abbildung 1) Spaltung des vollständigen Wafers in kleine Chips (~ 10 mm x 10 mm) mit einem Diamantschreiber. Markieren Sie die Positionen der "Spitze-Spitze" Struktur auf den Chips. Sah den Chip mit einer Plättchenschneidmaschine in Bars von 60 um 2 mm Größe zu erhalten. Die Bar schließt die "Spitze-Spitze" Struktur in der Mitte. Kleben Sie die Ziel bar auf einem Cu-Halbring mit dem Se…

Representative Results

Abbildung 4 zeigt Hellfeld (BF) TEM-Aufnahmen von einer in-situ-Test. Es sind teilweise durchbrochen TaN / Ta Barrieren und bereits bestehende Cu-Atome in den ULK Dielektrika vor dem elektrischen Test (4A) aufgrund längerer Lagerung in Umgebungs. Bereits nach 376 sec bei 40 V, die dielektrische Durchschlags begonnen und wurde mit zwei großen Migrationswege von Kupfer aus der M1 Metalls begleitet, mit einem positiven Potential mit Bezug auf das Masseseite 15-16. Die…

Discussion

Voraussetzung für den Erfolg in der TDDB Versuch ist gut Probenaufbereitung, insbesondere in der FIB Mahlvorgang in der SEM. Erstens muss eine dicke Pt-Schicht auf der Oberseite der "Spitze-Spitze" Struktur zu hinterlegen. Die Dicke und die Grße der Pt-Schicht kann durch den SEM Bediener eingestellt werden, sondern müssen drei Prinzipien folgen: (1) Die Dicke und die Größe, um den anvisierten Bereich von möglichen Ionenstrahlschäden während des gesamten Mahlprozesses zu schützen; (2) Es ist noch eine …

Offenlegungen

The authors have nothing to disclose.

Acknowledgements

The authors would like to thank Rüdiger Rosenkranz and Sven Niese (Fraunhofer IKTS-MD) for their assistance in sample preparation, and Ude Hangen, Douglas Stauffer, Ryan Major and Oden Warren (Hysitron Inc.) for their technical support on the PI95 TEM holder. The support of the Center for Advancing Electronics Dresden (cfaed) and the Dresden Center for Nanoanalysis (DCN) at Technische Universität Dresden is acknowledged as well.

Materials

Automatic Dicing Saw DISCO Kiru-Kezuru-Migaku Technologies
Scanning Electron Microscope Zeiss Zeiss Nvision 40
Picoindentor Hysitron Hysitron Pi95
Keithley SourceMeter Keithley Keithley 2602/237
Transmission Electron Microscope FEI FEI Tecnai F20
Transmission Electron Microscope Zeiss Zeiss Libra 200

Referenzen

  1. Edelstein, D., et al. Full Copper Wiring in a Sub-0.25 µm CMOS ULSI Technology. IEDM Tech. Dig. , 773-776 (1997).
  2. List, S., Bamal, M., Stucchi, M., Maex, K. A global view of interconnects. Microelectron. Eng. 83 (11/12), 2200-2207 (2006).
  3. Meindl, J. D., Davis, J. A., Zarkesh-Ha, P., Patel, C. S., Martin, K. P., Kohl, P. A. Interconnect opportunities for gigascale integration. IBM J. Res. Develop. 46 (2/3), 245-263 (2002).
  4. Zhang, X. F., Wang, Y. W., Im, J. H., Ho, P. S. Chip-Package Interaction and Reliability Improvement by Structure Optimization for Ultralow-k Interconnects in Flip-Chip Packages. IEEE Trans. Device Mater. Reliab. 12 (2), 462-469 (2012).
  5. Lee, K. D., Ogawa, E. T., Yoon, S., Lu, X., Ho, P. S. Electromigration reliability of dual-damascene Cu/porous methylsilsesquioxane low k interconnects. Appl. Phys. Lett. 82 (13), 2032 (2003).
  6. Zschech, E., et al. Stress-induced phenomena in nanosized copper interconnect structures studied by x-ray and electron microscopy. J. Appl. Phys. 106 (9), 093711 (2009).
  7. Tan, T. L., Hwang, N., Gan, C. L. Dielectric Breakdown Failure Mechanisms in Cu-SiOC low-k interconnect system. IEEE Trans. Bimodal. 7 (2), 373-378 (2007).
  8. Zhao, L., et al. Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper. Appl. Phys. Lett. 98 (3), 032107 (2011).
  9. Breuer, T., Kerst, U., Boit, C., Langer, E., Ruelke, H., Fissel, A. Conduction and material transport phenomena of degradation in electrically stressed ultra-low-k dielectric before breakdown. J. Appl. Phys. 112 (12), 124103 (2012).
  10. Lloyd, J. R., Liniger, E., Shaw, T. M. Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 98 (8), 084109 (2005).
  11. Chen, F., et al. A Comprehensive Study of Low-k SiCOH TDDB Phenomena and Its Reliability Lifetime Model Development. , 46-53 (2006).
  12. Wu, W., Duan, X., Yuan, J. S. Modeling of Time-Dependent Dielectric Breakdown in Copper Metallization). IEEE Trans. Device Mater. Reliab. 3 (2), 26-30 (2003).
  13. Achanta, R. S., Plawsky, J. L., Gill, W. N. A time dependent dielectric breakdown model for field accelerated low-k breakdown due to copper ions. Appl. Phys. Lett. 91 (23), 234106 (2007).
  14. Chen, F., Shinosky, M. Soft breakdown characteristics of ultralow-k time-dependent dielectric breakdown for advanced complementary metal-oxide semiconductor technologies. J. Appl. Phys. 108 (5), 054107 (2010).
  15. Yeap, K. B., et al. An Experimental Methodology for the In-Situ Observation of the Time-Dependent Dielectric Breakdown Mechanism in Copper/Low-k On-Chip Interconnect Structures. , (2013).
  16. Yeap, K. B., et al. In situ study on low-k interconnect time-dependent-dielectric-breakdown mechanisms). J. Appl. Phys. 115 (12), 124101 (2014).
  17. Liao, Z. Q., et al. In-situ Study of the TDDB-Induced Damage Mechanism in Cu/Ultra-low-k Interconnect Structures. Microelectron. Eng. In Press, (2014).
  18. Liao, Z. Q., et al. A New In Situ Microscopy Approach to Study the Degradation and Failure Mechanisms of Time-Dependent Dielectric Breakdown: Set-Up and Opportunities. Adv. Eng. Mater. 16 (5), 486-493 (2014).
  19. Lee, Z., Meyer, J. C., Rose, H., Kaiser, U. Optimum HRTEM image contrast at 20 kV and 80 kV-Exemplified by graphene. Ultramicroscopy. 112 (1), 39-46 (2012).
  20. Bell, D. C., Russo, C. J., Kolmykov, D. V. 40 keV atomic resolution TEM. Ultramicroscopy. 114, 31-37 (2012).
  21. Kaiser, U., et al. Transmission electron microscopy at 20 kV for imaging and spectroscopy. Ultramicroscopy. 111 (8), 1239-1246 (2011).
  22. Egerton, R. F. Control of radiation damage in the TEM. Ultramicroscopy. 127, 100-108 (2013).
  23. Jiang, N. Damage mechanisms in electron microscopy of insulating materials. J. Phys. D: Appl. Phys. 46, 305502 (2013).
  24. Buban, J. P., Ramasse, Q., Gipson, B., Browning, N. D., Stahlberg, H. High-resolution low-dose scanning transmission electron microscopy. J. Electron Microsc. 59 (2), 103-112 (2010).
  25. Egerton, R. F., Li, P., Malac, M. Radiation damage in the TEM and SEM. Micron. 35 (6), 399-409 (2004).

Play Video

Diesen Artikel zitieren
Liao, Z., Gall, M., Yeap, K. B., Sander, C., Clausner, A., Mühle, U., Gluch, J., Standke, Y., Aubel, O., Beyer, A., Hauschildt, M., Zschech, E. In Situ Time-dependent Dielectric Breakdown in the Transmission Electron Microscope: A Possibility to Understand the Failure Mechanism in Microelectronic Devices. J. Vis. Exp. (100), e52447, doi:10.3791/52447 (2015).

View Video