Summary

In Situ Tidsavhengig Dielektrisk Sammenbrudd i transmisjonselektronmikroskop: En Mulighet til å forstå feilmekanisme i Mikro Devices

Published: June 26, 2015
doi:

Summary

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. This paper demonstrates the procedure of an in situ TDDB experiment in the transmission electron microscope, which opens a possibility to study the failure mechanism in microelectronic products.

Abstract

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. The aggressive scaling of feature sizes, both on devices and interconnects, leads to serious challenges to ensure the required product reliability. Standard reliability tests and post-mortem failure analysis provide only limited information about the physics of failure mechanisms and degradation kinetics. Therefore it is necessary to develop new experimental approaches and procedures to study the TDDB failure mechanisms and degradation kinetics in particular. In this paper, an in situ experimental methodology in the transmission electron microscope (TEM) is demonstrated to investigate the TDDB degradation and failure mechanisms in Cu/ULK interconnect stacks. High quality imaging and chemical analysis are used to study the kinetic process. The in situ electrical test is integrated into the TEM to provide an elevated electrical field to the dielectrics. Electron tomography is utilized to characterize the directed Cu diffusion in the insulating dielectrics. This experimental procedure opens a possibility to study the failure mechanism in interconnect stacks of microelectronic products, and it could also be extended to other structures in active devices.

Introduction

Siden Cu interconnects ble først introdusert i ultra-storskala integrasjon (ULSI) teknologi i 1997 1, low-k og ultra-low-k (ULK) dielectrics har blitt adoptert inn i back-end-of-line (BEoL) som isolasjonsmateriale mellom on-chip sammenkoblinger. Kombinasjonen av nye materialer, for eksempel, Cu for redusert motstand og lav-k / ULK dielectrics for lavere kapasitans, overvinner effekten av økt motstand-kapasitans (RC) forsinkelse forårsaket av interdimensjonal krymping 2, 3. Men denne fordelen tatt av fortsatt aggressiv skalering av mikroelektroniske enheter de siste årene. Anvendelse av lav-K / ULK materialer resulterer i ulike utfordringer i produksjonsprosessen og for produktet pålitelighet, særlig hvis sammenkoblings banen når ca. 100 nm eller mindre 4-6.

TDDB refererer til den fysiske feilmekanisme av et dielektrisk materiale som en funksjon av tideni henhold til et elektrisk felt. Den TDDB påliteligheten testen er vanligvis utført under akselererte betingelser (forhøyet elektrisk felt og / eller forhøyet temperatur).

Den TDDB i on-chip interconnect stabler er en av de mest kritiske mekanismer svikt for mikroelektroniske enheter, som allerede har reist intense bekymringer i pålitelighet samfunnet. Den vil fortsette å være i søkelyset av pålitelighets ingeniører siden ULK dielectrics med enda svakere elektriske og mekaniske egenskaper blir integrert i enhetene i avansert teknologi noder.

Dedikerte eksperimenter har blitt utført for å undersøke TDDB feilmekanisme 7-9, og en betydelig mengde innsats har blitt investert for å utvikle modeller som beskriver forholdet mellom elektrisk felt og levetid av enhetene 10-13. De eksisterende studier nytte for samfunnet av pålitelighets ingeniører i mikroelektronikk; Men mange ChallenGES fortsatt eksisterer og mange spørsmål fortsatt må besvares i detalj. For eksempel, for å påvist modeller beskrive den fysiske feilmekanisme og nedbrytningskinetikken i TDDB prosessen og den respektive eksperimentell verifikasjon fremdeles mangler. Som et særlig behov, er en mer passende modell for å erstatte den konservative √E-modell 14.

Som en svært viktig del av TDDB etterforskningen, er typisk feil analyse overfor en enestående utfordring, dvs. gir omfattende og vanskelig bevis for å forklare fysikken i feilmekanismer og nedbrytningskinetikk. Angivelig, inspeksjon millioner av vias og målere av nanoskala Cu linjer én etter én og ex situ imaging svikt nettstedet er ikke det riktige valget til hinder denne utfordringen, fordi det er svært tidkrevende, og kun begrenset informasjon om kinetikken av skaden mekanismen kan gis. Derfor har en presserende oppgave dukket opp for å utvikle ennd for å optimalisere eksperimenter og for å få en bedre fremgangsmåte for å studere TDDB feilmekanismer og nedbrytningskinetikk.

I denne artikkelen vil vi demonstrere en in situ eksperimentell metode for å undersøke TDDB feilmekanisme i Cu / ULK sammenkoblings stabler. En TEM med evne til bildekvalitet og høy kjemisk analyse benyttes for å studere kinetiske prosessen ved dedikerte teststrukturer. In situ-elektrisk test er integrert i TEM eksperimentet for å tilveiebringe en forhøyet elektrisk felt for å dielektrikum. En tilpasset "tip-to-tip" struktur, bestående av fullt innkapslet Cu sammenkoblinger og isolert av en ULK materiale, er utformet i 32 nm CMOS-teknologi node. Den eksperimentelle fremgangsmåte som er beskrevet her kan også bli utvidet til andre strukturer i aktive enheter.

Protocol

1. Klar Sample for Fokusert Ion Beam (FIB) Tynning (figur 1) Kløyve hele wafer i små chips (~ 10 mm med 10 mm) med en diamant skriver. Markerer posisjoner av "spiss-til-spiss" struktur på chips. Så chip med en dicing maskin for å få barer av 60 mikrometer ved 2 mm størrelse. Baren inneholder "tip-to-tip" struktur i sentrum. Lim målet bar på en Cu halv ring med superlim. Deretter limer baren på en Cu prøvestadiet også bruke superlim. Deretter bruker…

Representative Results

Figur 4 viser lyse feltet (BF) TEM bilder fra en in situ test. Det er delvis brutt TaN / Ta barrierer og pre-eksisterende Cu-atomer i ULK dielectrics før den elektriske test (Figur 4A) på grunn av utvidet lagring i ambient. Etter bare 376 sekunder ved 40 V, det dielektriske sammenbrudd i gang og ble ledsaget med to store migrasjonsveier av kobber fra M1 metall, som har et positivt potensial med hensyn til den første side 15-16. Det diffuserte Cu partikler i ULK di…

Discussion

Forutsetningen for suksess i TDDB forsøket er god prøvepreparering, spesielt i FIB maleprosessen i SEM. For det første, har en tykk Pt lag på toppen av "spiss-til-spiss" struktur som skal avsettes. Tykkelsen og størrelsen av Pt laget kan justeres av operatøren SEM, men må følge tre prinsipper: (1) tykkelse og størrelse er tilstrekkelig til å beskytte målområdet fra mulige ionestråle skader under hele maleprosessen; (2) Det er fortsatt et relativt tykt Pt lag (≥ 400 nm) på toppen av prøven igje…

Disclosures

The authors have nothing to disclose.

Acknowledgements

The authors would like to thank Rüdiger Rosenkranz and Sven Niese (Fraunhofer IKTS-MD) for their assistance in sample preparation, and Ude Hangen, Douglas Stauffer, Ryan Major and Oden Warren (Hysitron Inc.) for their technical support on the PI95 TEM holder. The support of the Center for Advancing Electronics Dresden (cfaed) and the Dresden Center for Nanoanalysis (DCN) at Technische Universität Dresden is acknowledged as well.

Materials

Automatic Dicing Saw DISCO Kiru-Kezuru-Migaku Technologies
Scanning Electron Microscope Zeiss Zeiss Nvision 40
Picoindentor Hysitron Hysitron Pi95
Keithley SourceMeter Keithley Keithley 2602/237
Transmission Electron Microscope FEI FEI Tecnai F20
Transmission Electron Microscope Zeiss Zeiss Libra 200

References

  1. Edelstein, D., et al. Full Copper Wiring in a Sub-0.25 µm CMOS ULSI Technology. IEDM Tech. Dig. , 773-776 (1997).
  2. List, S., Bamal, M., Stucchi, M., Maex, K. A global view of interconnects. Microelectron. Eng. 83 (11/12), 2200-2207 (2006).
  3. Meindl, J. D., Davis, J. A., Zarkesh-Ha, P., Patel, C. S., Martin, K. P., Kohl, P. A. Interconnect opportunities for gigascale integration. IBM J. Res. Develop. 46 (2/3), 245-263 (2002).
  4. Zhang, X. F., Wang, Y. W., Im, J. H., Ho, P. S. Chip-Package Interaction and Reliability Improvement by Structure Optimization for Ultralow-k Interconnects in Flip-Chip Packages. IEEE Trans. Device Mater. Reliab. 12 (2), 462-469 (2012).
  5. Lee, K. D., Ogawa, E. T., Yoon, S., Lu, X., Ho, P. S. Electromigration reliability of dual-damascene Cu/porous methylsilsesquioxane low k interconnects. Appl. Phys. Lett. 82 (13), 2032 (2003).
  6. Zschech, E., et al. Stress-induced phenomena in nanosized copper interconnect structures studied by x-ray and electron microscopy. J. Appl. Phys. 106 (9), 093711 (2009).
  7. Tan, T. L., Hwang, N., Gan, C. L. Dielectric Breakdown Failure Mechanisms in Cu-SiOC low-k interconnect system. IEEE Trans. Bimodal. 7 (2), 373-378 (2007).
  8. Zhao, L., et al. Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper. Appl. Phys. Lett. 98 (3), 032107 (2011).
  9. Breuer, T., Kerst, U., Boit, C., Langer, E., Ruelke, H., Fissel, A. Conduction and material transport phenomena of degradation in electrically stressed ultra-low-k dielectric before breakdown. J. Appl. Phys. 112 (12), 124103 (2012).
  10. Lloyd, J. R., Liniger, E., Shaw, T. M. Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 98 (8), 084109 (2005).
  11. Chen, F., et al. A Comprehensive Study of Low-k SiCOH TDDB Phenomena and Its Reliability Lifetime Model Development. , 46-53 (2006).
  12. Wu, W., Duan, X., Yuan, J. S. Modeling of Time-Dependent Dielectric Breakdown in Copper Metallization). IEEE Trans. Device Mater. Reliab. 3 (2), 26-30 (2003).
  13. Achanta, R. S., Plawsky, J. L., Gill, W. N. A time dependent dielectric breakdown model for field accelerated low-k breakdown due to copper ions. Appl. Phys. Lett. 91 (23), 234106 (2007).
  14. Chen, F., Shinosky, M. Soft breakdown characteristics of ultralow-k time-dependent dielectric breakdown for advanced complementary metal-oxide semiconductor technologies. J. Appl. Phys. 108 (5), 054107 (2010).
  15. Yeap, K. B., et al. An Experimental Methodology for the In-Situ Observation of the Time-Dependent Dielectric Breakdown Mechanism in Copper/Low-k On-Chip Interconnect Structures. , (2013).
  16. Yeap, K. B., et al. In situ study on low-k interconnect time-dependent-dielectric-breakdown mechanisms). J. Appl. Phys. 115 (12), 124101 (2014).
  17. Liao, Z. Q., et al. In-situ Study of the TDDB-Induced Damage Mechanism in Cu/Ultra-low-k Interconnect Structures. Microelectron. Eng. In Press, (2014).
  18. Liao, Z. Q., et al. A New In Situ Microscopy Approach to Study the Degradation and Failure Mechanisms of Time-Dependent Dielectric Breakdown: Set-Up and Opportunities. Adv. Eng. Mater. 16 (5), 486-493 (2014).
  19. Lee, Z., Meyer, J. C., Rose, H., Kaiser, U. Optimum HRTEM image contrast at 20 kV and 80 kV-Exemplified by graphene. Ultramicroscopy. 112 (1), 39-46 (2012).
  20. Bell, D. C., Russo, C. J., Kolmykov, D. V. 40 keV atomic resolution TEM. Ultramicroscopy. 114, 31-37 (2012).
  21. Kaiser, U., et al. Transmission electron microscopy at 20 kV for imaging and spectroscopy. Ultramicroscopy. 111 (8), 1239-1246 (2011).
  22. Egerton, R. F. Control of radiation damage in the TEM. Ultramicroscopy. 127, 100-108 (2013).
  23. Jiang, N. Damage mechanisms in electron microscopy of insulating materials. J. Phys. D: Appl. Phys. 46, 305502 (2013).
  24. Buban, J. P., Ramasse, Q., Gipson, B., Browning, N. D., Stahlberg, H. High-resolution low-dose scanning transmission electron microscopy. J. Electron Microsc. 59 (2), 103-112 (2010).
  25. Egerton, R. F., Li, P., Malac, M. Radiation damage in the TEM and SEM. Micron. 35 (6), 399-409 (2004).
check_url/52447?article_type=t

Play Video

Cite This Article
Liao, Z., Gall, M., Yeap, K. B., Sander, C., Clausner, A., Mühle, U., Gluch, J., Standke, Y., Aubel, O., Beyer, A., Hauschildt, M., Zschech, E. In Situ Time-dependent Dielectric Breakdown in the Transmission Electron Microscope: A Possibility to Understand the Failure Mechanism in Microelectronic Devices. J. Vis. Exp. (100), e52447, doi:10.3791/52447 (2015).

View Video