Summary

Udarbejdelse af Mica og Silicon Substrater for DNA Origami Analyse og eksperimenter

Published: July 23, 2015
doi:

Summary

Reproducible cleaning processes for substrates used in DNA origami research are described, including bench-top RCA cleaning and derivatization of silicon oxide. Protocols for surface preparation, DNA origami deposition, drying parameters, and simple experimental set-ups are illustrated.

Abstract

The designed nature and controlled, one-pot synthesis of DNA origami provides exciting opportunities in many fields, particularly nanoelectronics. Many of these applications require interaction with and adhesion of DNA nanostructures to a substrate. Due to its atomically flat and easily cleaned nature, mica has been the substrate of choice for DNA origami experiments. However, the practical applications of mica are relatively limited compared to those of semiconductor substrates. For this reason, a straightforward, stable, and repeatable process for DNA origami adhesion on derivatized silicon oxide is presented here. To promote the adhesion of DNA nanostructures to silicon oxide surface, a self-assembled monolayer of 3-aminopropyltriethoxysilane (APTES) is deposited from an aqueous solution that is compatible with many photoresists. The substrate must be cleaned of all organic and metal contaminants using Radio Corporation of America (RCA) cleaning processes and the native oxide layer must be etched to ensure a flat, functionalizable surface. Cleanrooms are equipped with facilities for silicon cleaning, however many components of DNA origami buffers and solutions are often not allowed in them due to contamination concerns. This manuscript describes the set-up and protocol for in-lab, small-scale silicon cleaning for researchers who do not have access to a cleanroom or would like to incorporate processes that could cause contamination of a cleanroom CMOS clean bench. Additionally, variables for regulating coverage are discussed and how to recognize and avoid common sample preparation problems is described.

Introduction

Først indført i 2006, DNA origami udnytter selvsamlende natur DNA-oligonukleotider til at producere designable og yderst ordnede nanostrukturer. 1 Et utal af strukturer er blevet rapporteret, lige fra smiley ansigter til klinke 3-dimensionelle bokse. 2 DNA origami kan funktionaliseres med forskellige biomolekyler og nanostrukturer, der giver anledning til forskningsansøgninger i nanoelektronik, medicin og kvantecomputere. 3 Men den analyse og mange fremtidige anvendelsesmuligheder er ikke kun afhængig af strukturelle design, men også af vedhæftning af DNA origami nanostrukturer til overflader. Beskrevet i dette manuskript metoder vedrører forberedelse af DNA origami prøver på to typer af underlag: glimmer og funktionaliserede siliciumoxid.

Glimmer er substratet af valg til DNA origami undersøgelser, fordi det er atomisk fladt, med et lag højde på 0,37 nm ± 0,02 nm. 4 Det er også easily renset, hvilket gør prøveforberedelse og atomic force mikroskopi (AFM) undersøgelser ligetil. Muskovit glimmer indeholder en høj densitet af kalium i hver spaltning plan, men disse ioner diffundere væk fra glimmeroverflade når i vand. At mediere binding af DNA origami til glimmer substratet, Mg2 + bruges til at vende den negative ladning af glimmer og binde elektrostatisk DNA phosphatrygraden til substratet (figur 1A). 5 Blandinger af udglødet DNA i nærvær af store overskud af korte tråde give høj dækning og gode billeder på glimmer fordi adhæsionen af DNA origami til Mg2 + -terminated overflade er meget stærkere end adhæsionen af enkeltstrengede oligonukleotider (korte strenge). Andre positivt ladede ioner, herunder Ni2 + og Co2 + kan anvendes til at styre vedhæftningen af DNA på glimmer. 6,7 Ændring af koncentrationen af monovalente og divalente kationer i opløsningen kan mediere adhesion og overflade diffusionshastigheder DNA origami. 8. Imidlertid protokollen for at forberede glimmer substrater og deponering og skylle origami ofte ikke eksplicit beskrevet i publicerede manuskripter. 9 Uden en klar protokol, kan reproducerbare resultater være vanskeligt at opnå.

Glimmer er en isolator, så det er ikke egnet som et substrat for visse applikationer i nanoelektronik. Silicium passiveret med en tynd indfødt oxid har ønskelige elektroniske egenskaber, herunder kompatibilitet med forudgående gratis metal-oxid halvleder (CMOS) behandling for at skabe input / output strukturer og topografiske funktioner. Siliciumskiver opbevaret i luft er passiveret med enten en tyk termisk oxid eller tynd nativt oxid film, der er relativt snavset, med en høj partikelformet tæller. Siliciumoxid har en meget lavere overflade ladningsdensitet end glimmer, og ladningstæthed er meget afhængig af forberedelse og historie oxid. Ved magnesium ionkoncentrationer Above 150 mM, gode dækningsområder (op til 4 / um 2), i rektangulær DNA origami kan opnås på oxygen plasma behandlet siliciumsubstrater; dog kan denne koncentration og dækning ændre sig afhængigt af størrelse og design af nanostrukturer, der anvendes. 10. En alternativ protokol til tuning overfladen afgift er at vedhæfte en kationisk selv-samlet monolag af 3-aminopropyltriethoxysilan (APTES) (figur 1B) til oxidet. Den primære amin på APTES kan protoneret ved pH-værdier under 9, modificere ladningen og hydrofobiciteten af substratet. 11 For en komplet monolag af APTES med held deponeres, skal silicium passende renses med Radio Corporation of America (RCA) protokoller . Disse protokoller omfatter behandlinger i ammoniumhydroxid og hydrogenperoxidopløsninger (RCA1) for at fjerne organiske rester og partikel forureninger. En kort etch i vandig flussyre opløsning fjerner det native oxidlaget sammen medeventuelle ioniske urenheder, der overholder oxid. Endelig prøver udsat for en saltsyre og hydrogenperoxidopløsning (rca2) for at fjerne metal og ioniske kontaminanter og danne en tynd, ensartet oxidlag. 12 De fleste renrum har udpeget hætter for CMOS rengøring protokoller, med strenge regler om, hvad der kan anvendes på disse områder. Et fælles problem kommer i form af ioner, såsom natrium, som kan forstyrre de elektroniske egenskaber af CMOS strukturer ved at skabe midbandgap fælder. 13 Ioner almindeligt anvendt i DNA origami forberedelse og deposition buffere kan forurene CMOS bade og forårsage problemer for andre forskere ved hjælp af den rene rum. Derfor vores gruppe anvender en "beskidt" CMOS rengøring bænk arrangeret specielt til de små prøver, der anvendes til DNA origami forskning. Denne proces er et godt alternativ til den traditionelle renrum opsætning og kan være egnet til laboratorier, der ikke har adgang til et renrum CMOS bænk.

Protocol

1. Eksperiment Planlægning og Klargøring Bestem design, koncentration og funktionalitet af DNA origami, der vil blive anvendt i eksperimenterne. 14-16 Her bruger vi en DNA origami rektangel design fremstillet i 1x TAE / Mg2 + opløsning (40 mM Tris-base, 20 mM eddikesyre, 2 mM EDTA og 12 mM magnesiumacetat, pH 8,0). 17 Autoklavér alle tips, rør og beholdere, der skal anvendes. Disse materialer skal alle være autoklave kompatible. Forbered en levering a…

Representative Results

To variabler diktere dækningen af ​​DNA origami på substratet: opløsningskoncentration og eksponeringstid. Adsorptions- karakteristika DNA origami på glimmer og APTES funktionaliseret siliciumoxid er tidligere blevet rapporteret. 13 Forholdet mellem koncentrationen af DNA origami i belægningsopløsning og de ​​endelige dækninger på glimmer er opsummeret i tabel 1 og figur 2, der viser stigende koncentration resulterer i øget dækning. Tidsafhængigheden af bin…

Discussion

Der er flere trin, der skal fremhæves for at opnå konsistente og ideelle resultater. For glimmer prøver, efter en streng og grundig skylning og tørring regime, som i trin 3.3 og 3.4, vil sikre, at billeder af individuelle DNA origami høj kvalitet kan opnås ved hjælp af AFM uden de forskellige problemer, der er skitseret i repræsentative resultater sektionen. Af primær betydning for silicium prøver er renligheden af ​​underlaget. Følge procedurerne rengøring skitseret i trin 5.2 grundigt og omhyggeligt vi…

Divulgations

The authors have nothing to disclose.

Acknowledgements

The authors thank Dr. Gary Bernstein for use of the AFM.

Materials

Eppendorf epT.I.P.S. Reloads, capacity 2-200 μL  VWR International, LLC 22491733 10 reload tray of 96 tips
Microcentrifuge Tubes, Polypropylene VWR International, LLC 87003-290 0.65 mL, natural
Research Plus Pippete – Single Channel – 20-200 μL A. Daigger & Company, Inc. EF8960F-3120000054 EACH Adjustable Volume
Research Plus Pippete – Single Channel – 2-20 μL A. Daigger & Company, Inc. EF8960D-3120000038 EACH Adjustable Volume
Scotch 237 Permanent Double-Sided Tape Office Depot, Inc. 602710 3/4" x 300", Pack of 2
Vortex Mixer Thermo Scientific M37610-33Q
Wafer container single, 2" (50 mm), 60 mm x 11 mm Electron Microscopy Sciences 64917-2 6 per pack
6" Wafer, P-type, <100> orientation, w/ primary flat Nova Electronic Materials, Ltd. GC49266
Powder-Free Nitrile Examination Gloves VWR International, LLC 82062-428 Catalog number is for size large
High Accuracy Noncontact probes with Au reflective coating K-Tek Nanotechnology, Inc. HA_NC/15
Autoclave Pan A. Daigger & Company, Inc. NAL692-5000 EF25341C
Sol-Vex II Aggressive Gloves, Size: 9-9.5; 15 mil, 13 inch – 1 dz Spectrum Chemical Mfg. Corp. 106-15055 Before use, rinse with water and scrub together until no bubbles form on the gloves.
Tweezers PTFE 200 mm Square Dynalon Corp. 316504-0002
Muscovite Mica Sheets V-5 Quality Electron Microscopy Sciences 71850-01 10 per pack
Mica Disc, 10 mm Ted Pella, Inc 50 Mica discs are optional
Scriber Diamon Pen for Glassware VWR International, LLC 52865-005
Scintillation Vials, Borosilicate Glass, with Screw Cap – 20 mL VWR International, LLC 66022-060 Case of 500, with attached polypropylene cap and pulp foil liner
4 x 5 Inch Top PC-200 Hot Plate, 120 V/60 Hz Dot Scientific, Inc. 6759-200
Straight-Sided Glass Jars, Wide Mouth VWR International, LLC 89043-554 Case of 254, caps with pulp/vinyl liner attached
Standar-Grade Glass Beaker, 250 mL Capacity VWR International, LLC 173506
Beakers, PTFE VWR International, LLC 89026-022 For use with HF
Shallow form watch glass, 3" VWR International, LLC 66112-107 Case of 12
Plastic Storage Container VWR International, LLC 470195-354 For secondary container
General-Purpose Liquid-In-Glass Thermometers VWR International, LLC 89095-564
High precision and ultra fine tweezers Electron Microscopy Sciences 78310-0
Polycarbonate Faceshield Fisher Scientific, Inc. 18-999-4542
Neoprene Apron Fisher Scientific, Inc. 19-810-609
Calcium Gluconate, Calgonate W.W Grainger, Inc. 13W861 Tube, 25 g
Hydrogen Peroxide 30 % CR ACS 500 mL Fisher Scientific, Inc. H325 500 HARMFUL, TOXIC
3-Aminopropyltriethoxysilane Gelest Inc. SIA0610.0-25GM Let warm to room temperature before use.
Ammonium hydroxide, 2.5 L Fisher Scientific, Inc. A669-212 HARMFUL, TOXIC
Hydrochloric acid Fisher Scientific, Inc. A144-212 HARMFUL, TOXIC
Hydrofluoric acid Fisher Scientific, Inc. A147-1LB HARMFUL, TOXIC
MultiMode Nanoscope IIIa Veeco Instruments, Inc. n/a Any AFM capable of tapping mode is suitable for analysis
Dunk basket Made in lab Made in lab The dunk basket was made using the bottom of a PTFE bottle with holes drilled in, PTFE handle, and all PTFE screws.

References

  1. Rothemund, P. W. K. Folding DNA to create nanoscale shapes and patterns. Nature. 440, 297-302 (2006).
  2. Anderson, E. S., et al. Self-assembly of a nanoscale DNA box with a controllable lid. Nature. 459, 73-77 (2009).
  3. Wang, Z., Ding, B. Engineering DNA Self-Assemblies as Templates for Functional Nanostructures. Acc. Chem. Res. 47, 1654-1662 (2014).
  4. Xu, K., et al. Graphene Visualizes the First Water Adlayers on Mica at Ambient Conditions. Science. 329, 1188-1191 (2010).
  5. Bustamante, C., et al. Circular DNA-molecules imaged in air by scanning force microscopy. Biochimie. 31, 22-26 (1992).
  6. Hsueh, C., et al. Localized Nanoscopic Surface Measurements of Nickel-Modified Mica for Single-Molecule DNA Sequence Sampling. ACS Appl Mater. Interfaces. 2, 3249-3256 (2010).
  7. Pastre, D., et al. Anionic polyelectrolyte adsorption on mica mediated by multivalent cations: A solution to DNA imaging by atomic force microscopy under high ionic strengths. Langmuir. 22, 6651-6660 (2006).
  8. Woo, S., et al. Self-assembly of two-dimensional DNA origami lattices using cation-controlled surface diffusion. Nature Communications. 5, 4889 (2014).
  9. Vesenka, J., et al. Substrate preparation for reliable imaging of DNA molecules with the scanning force microscope. Ultramicroscopy. 42-44, 1243-1249 (1992).
  10. Albrechts, B., et al. Adsorption studies of DNA origami on silicon dioxide. , (2010).
  11. Sarveswaran, K., et al. Adhesion of DNA Nanostructures and DNA Origami to lithographically patterned self-assembled monolayers in Si[100]. Proc. of SPIE-Soc. Opt. Eng. 7637, 76370M-1 (2010).
  12. Kern, W., Puotien, D. A. Cleaning solutions based on hydrogen peroxide for use in silicon semiconductor technology. RCA Rev. 31, 187-206 (1970).
  13. Pillers, M., Goss, V., Lieberman, M. Electron-Beam Lithography and Molecular Liftoff for Directed Attachment of DNA Nanostructures on Silicon: Top-down Meets Bottom-up. Acc. Chem. Res. 47, 1759-1767 (2014).
  14. Saccá, B., Niemery, C. M. DNA Origami: The Art of Folding DNA. Angew. Chem. Int. Ed. 51, 58-66 (2012).
  15. Douglas, S. M., et al. Rapid prototyping of 3D DNA-origami shapes with caDNAno. Nucleic Acids Res. 37, 5001-5006 (2009).
  16. Ben-Ishay, E., et al. Designing a Bio-responsive Robot from DNA. Origami. J. Vis. Exp. (77), e50268 (2013).
  17. Woo, S., et al. Programmable molecular recognition based on the geometry of DNA nanostructures. Nature Chemistry. 3, 620-627 (2011).
  18. Schlegel, M. L., et al. Cation sorption on the muscovite (001) surface in chloride solutions using high-resolution X-ray reflectivity. Geochim. Cosmochim. Acta. 70, 3549-3565 (2006).
  19. Rasband, W. S., Howarter, J. A., et al. National Institutes of Health. Langmuir. 22, 11142-11147 (2006).
  20. Kershner, R. J., et al. Placement and orientation of individual DNA shapes on lithographically patterned surfaces. Nature Nanotechnology. 4, 557-561 (2009).
  21. Hung, A. M., et al. Large-area spatially ordered arrays of gold nanoparticles directed by lithographically confined DNA origami. Nature Nanotechnology. 5, 121-126 (2010).
  22. Sarveswaran, K., et al. et al.Adhesion of DNA nanostructure and DNA origami to lithographically patterned self-assembled monolayers on Si[100. Proc. SPIE-Int. Soc. Opt. Eng. 7637, 76370M (2010).
  23. Pillers, M. A., Lieberman, M. Thermal stability of DNA origami on mica. J. Vac. Sci. Technol. B. 32, 040602 (2014).
  24. Song, J., et al. Direct Visualization of Transient Thermal Response of a DNA. Origami. J. Am. Chem. Soc. 134, 9844 (2012).
  25. Wei, X., et al. Mapping the thermal behavior of DNA origami nanostructures. J. Am. Chem. Soc. 135 (16), 6165-6176 (2013).
  26. Hyojeong Kim, ., et al. Stability of DNA Origami Nanostructures under Diverse Chemical Environments. Chem. Mater. 26, 5265-5273 (2014).
check_url/fr/52972?article_type=t

Play Video

Citer Cet Article
Pillers, M. A., Shute, R., Farchone, A., Linder, K. P., Doerfler, R., Gavin, C., Goss, V., Lieberman, M. Preparation of Mica and Silicon Substrates for DNA Origami Analysis and Experimentation. J. Vis. Exp. (101), e52972, doi:10.3791/52972 (2015).

View Video