Summary

В Ситу Время-зависимой пробой диэлектрика в просвечивающий электронный микроскоп: возможность понять механизм Отказ в микроэлектронных устройств

Published: June 26, 2015
doi:

Summary

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. This paper demonstrates the procedure of an in situ TDDB experiment in the transmission electron microscope, which opens a possibility to study the failure mechanism in microelectronic products.

Abstract

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. The aggressive scaling of feature sizes, both on devices and interconnects, leads to serious challenges to ensure the required product reliability. Standard reliability tests and post-mortem failure analysis provide only limited information about the physics of failure mechanisms and degradation kinetics. Therefore it is necessary to develop new experimental approaches and procedures to study the TDDB failure mechanisms and degradation kinetics in particular. In this paper, an in situ experimental methodology in the transmission electron microscope (TEM) is demonstrated to investigate the TDDB degradation and failure mechanisms in Cu/ULK interconnect stacks. High quality imaging and chemical analysis are used to study the kinetic process. The in situ electrical test is integrated into the TEM to provide an elevated electrical field to the dielectrics. Electron tomography is utilized to characterize the directed Cu diffusion in the insulating dielectrics. This experimental procedure opens a possibility to study the failure mechanism in interconnect stacks of microelectronic products, and it could also be extended to other structures in active devices.

Introduction

С Cu соединяет были, во-первых представила на технологии ультра-крупномасштабные интеграции (ULSI) в 1997 году 1, низкая-к и ультра-низким К (УЛК) диэлектриков были приняты в бэк-конец-строки (BEoL) как изоляционных материалов между встроенными межсоединений. Сочетание новых материалов, например, Cu для снижается сопротивление и низкое-K / УЛК диэлектриков для нижней емкости, преодолевает последствия повышенного сопротивления, емкости (RC) задержки, вызванной интерконнект мерной усадки 2, 3. Тем не менее, это преимущество было посягнули продолжающимся агрессивной масштабирования микроэлектронных устройств в последние годы. Использование низкого K / УЛК материалов результатов в различных проблем в производственном процессе, и для надежности продукции, особенно если шаг межсоединений достигает около 100 нм или меньше 4-6.

TDDB относится к физическому механизма разрушения из диэлектрического материала, как функцию временипод действием электрического поля. Тест надежности TDDB обычно проводят в ускоренных условиях (повышенная электрического поля и / или при повышенной температуре).

TDDB в на-чипе межсоединений стеки является одним из наиболее важных механизмов отказа для микроэлектронных устройств, которые уже поднятых проблем интенсивные в сообществе надежности. Это будет по-прежнему в центре внимания инженеров надежности, начиная с УЛК диэлектриков с еще более слабые электрические и механические свойства были интегрированы в устройства в передовых технологий узлов.

Выделенные эксперименты были выполнены, чтобы исследовать механизм разрушения TDDB 7-9, и значительное количество усилий было вложено в разработке моделей, которые описывают отношения между электрическим полем и срока службы устройств 10-13. Существующие исследования пользу сообществу инженеров надежности в микроэлектронике; однако, многие ChallenГЭС до сих пор существуют, и многие вопросы все еще необходимо ответить в деталях. Например, проверенные модели для описания физического механизма и деградации отказ кинетики в процессе TDDB и соответствующая экспериментальная проверка еще не хватает. В частности, необходимо, более подходящей моделью необходимо заменить консервативную √E-модель 14.

Как очень важная часть TDDB расследования, типичным анализ отказов сталкивается с беспрецедентным вызовом, т.е., обеспечивая комплексный и убедительных доказательств, чтобы объяснить физику механизмов отказов и кинетики разложения. По-видимому, осматривая миллионы отверстий и метров наноразмерных Cu линий по одной и экс месте визуализации сайт неудача не подходящим выбором для преодоления этого вызова, потому что это очень много времени, и лишь ограниченная информация о кинетики механизма повреждения может быть предоставлена. Таким образом, актуальной задачей стала разработкай оптимизировать эксперименты и получить лучшую процедуру для изучения механизмов отказа TDDB и кинетика разложения.

В этой статье мы покажем, на месте экспериментального методологии исследовать механизм разрушения TDDB в Cu / УЛК интерконнекта стеков. ТЕМ с возможностью высококачественного изображения и химического анализа используется для изучения кинетической процесс на специальных тестовых структур. На месте электрического теста интегрирован в эксперименте ТЕМ, чтобы обеспечить повышенный электрическое поле в диэлектриках. Настроить структуру "от носа до кончика", состоящий из полностью герметизированных Cu межсоединений и изолированы от материала УЛК, предназначен в 32 нм КМОП технологии узла. Экспериментальная процедура описана здесь также можно распространить и на другие структуры в активных устройств.

Protocol

1. Подготовка пробы для сфокусированного ионного пучка (FIB) Разведение (Рисунок 1) Сколите полный пластины в небольших чипов (~ 10 мм от 10 мм) с алмазным писца. Отметьте позиции "кончик к кончику» структуры на чипы. Видел чип с резки полупроводниковых пластин для получения…

Representative Results

Рисунок 4 показывает яркие поля (BF) ПЭМ-изображения из теста в месте. Там частично нарушено TaN / Ta барьеры и уже существующие атомы Cu в диэлектриках УЛК до электрического теста (рис 4а) из-за длительном хранении в окружающей. После всего лишь 376 сек при 40 V, пробой диэле…

Discussion

Предпосылкой успеха в эксперименте TDDB хорошо пробоподготовка, особенно в процессе фрезерования FIB в РЭМ. Во-первых, толстый слой Pt на вершине "кончик к кончику» структуры должен быть сдан на хранение. Толщина и размер слоя Pt может быть отрегулирована оператором SEM, но должны следовать…

Declarações

The authors have nothing to disclose.

Acknowledgements

The authors would like to thank Rüdiger Rosenkranz and Sven Niese (Fraunhofer IKTS-MD) for their assistance in sample preparation, and Ude Hangen, Douglas Stauffer, Ryan Major and Oden Warren (Hysitron Inc.) for their technical support on the PI95 TEM holder. The support of the Center for Advancing Electronics Dresden (cfaed) and the Dresden Center for Nanoanalysis (DCN) at Technische Universität Dresden is acknowledged as well.

Materials

Automatic Dicing Saw DISCO Kiru-Kezuru-Migaku Technologies
Scanning Electron Microscope Zeiss Zeiss Nvision 40
Picoindentor Hysitron Hysitron Pi95
Keithley SourceMeter Keithley Keithley 2602/237
Transmission Electron Microscope FEI FEI Tecnai F20
Transmission Electron Microscope Zeiss Zeiss Libra 200

Referências

  1. Edelstein, D., et al. Full Copper Wiring in a Sub-0.25 µm CMOS ULSI Technology. IEDM Tech. Dig. , 773-776 (1997).
  2. List, S., Bamal, M., Stucchi, M., Maex, K. A global view of interconnects. Microelectron. Eng. 83 (11/12), 2200-2207 (2006).
  3. Meindl, J. D., Davis, J. A., Zarkesh-Ha, P., Patel, C. S., Martin, K. P., Kohl, P. A. Interconnect opportunities for gigascale integration. IBM J. Res. Develop. 46 (2/3), 245-263 (2002).
  4. Zhang, X. F., Wang, Y. W., Im, J. H., Ho, P. S. Chip-Package Interaction and Reliability Improvement by Structure Optimization for Ultralow-k Interconnects in Flip-Chip Packages. IEEE Trans. Device Mater. Reliab. 12 (2), 462-469 (2012).
  5. Lee, K. D., Ogawa, E. T., Yoon, S., Lu, X., Ho, P. S. Electromigration reliability of dual-damascene Cu/porous methylsilsesquioxane low k interconnects. Appl. Phys. Lett. 82 (13), 2032 (2003).
  6. Zschech, E., et al. Stress-induced phenomena in nanosized copper interconnect structures studied by x-ray and electron microscopy. J. Appl. Phys. 106 (9), 093711 (2009).
  7. Tan, T. L., Hwang, N., Gan, C. L. Dielectric Breakdown Failure Mechanisms in Cu-SiOC low-k interconnect system. IEEE Trans. Bimodal. 7 (2), 373-378 (2007).
  8. Zhao, L., et al. Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper. Appl. Phys. Lett. 98 (3), 032107 (2011).
  9. Breuer, T., Kerst, U., Boit, C., Langer, E., Ruelke, H., Fissel, A. Conduction and material transport phenomena of degradation in electrically stressed ultra-low-k dielectric before breakdown. J. Appl. Phys. 112 (12), 124103 (2012).
  10. Lloyd, J. R., Liniger, E., Shaw, T. M. Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 98 (8), 084109 (2005).
  11. Chen, F., et al. A Comprehensive Study of Low-k SiCOH TDDB Phenomena and Its Reliability Lifetime Model Development. , 46-53 (2006).
  12. Wu, W., Duan, X., Yuan, J. S. Modeling of Time-Dependent Dielectric Breakdown in Copper Metallization). IEEE Trans. Device Mater. Reliab. 3 (2), 26-30 (2003).
  13. Achanta, R. S., Plawsky, J. L., Gill, W. N. A time dependent dielectric breakdown model for field accelerated low-k breakdown due to copper ions. Appl. Phys. Lett. 91 (23), 234106 (2007).
  14. Chen, F., Shinosky, M. Soft breakdown characteristics of ultralow-k time-dependent dielectric breakdown for advanced complementary metal-oxide semiconductor technologies. J. Appl. Phys. 108 (5), 054107 (2010).
  15. Yeap, K. B., et al. An Experimental Methodology for the In-Situ Observation of the Time-Dependent Dielectric Breakdown Mechanism in Copper/Low-k On-Chip Interconnect Structures. , (2013).
  16. Yeap, K. B., et al. In situ study on low-k interconnect time-dependent-dielectric-breakdown mechanisms). J. Appl. Phys. 115 (12), 124101 (2014).
  17. Liao, Z. Q., et al. In-situ Study of the TDDB-Induced Damage Mechanism in Cu/Ultra-low-k Interconnect Structures. Microelectron. Eng. In Press, (2014).
  18. Liao, Z. Q., et al. A New In Situ Microscopy Approach to Study the Degradation and Failure Mechanisms of Time-Dependent Dielectric Breakdown: Set-Up and Opportunities. Adv. Eng. Mater. 16 (5), 486-493 (2014).
  19. Lee, Z., Meyer, J. C., Rose, H., Kaiser, U. Optimum HRTEM image contrast at 20 kV and 80 kV-Exemplified by graphene. Ultramicroscopy. 112 (1), 39-46 (2012).
  20. Bell, D. C., Russo, C. J., Kolmykov, D. V. 40 keV atomic resolution TEM. Ultramicroscopy. 114, 31-37 (2012).
  21. Kaiser, U., et al. Transmission electron microscopy at 20 kV for imaging and spectroscopy. Ultramicroscopy. 111 (8), 1239-1246 (2011).
  22. Egerton, R. F. Control of radiation damage in the TEM. Ultramicroscopy. 127, 100-108 (2013).
  23. Jiang, N. Damage mechanisms in electron microscopy of insulating materials. J. Phys. D: Appl. Phys. 46, 305502 (2013).
  24. Buban, J. P., Ramasse, Q., Gipson, B., Browning, N. D., Stahlberg, H. High-resolution low-dose scanning transmission electron microscopy. J. Electron Microsc. 59 (2), 103-112 (2010).
  25. Egerton, R. F., Li, P., Malac, M. Radiation damage in the TEM and SEM. Micron. 35 (6), 399-409 (2004).
check_url/pt/52447?article_type=t

Play Video

Citar este artigo
Liao, Z., Gall, M., Yeap, K. B., Sander, C., Clausner, A., Mühle, U., Gluch, J., Standke, Y., Aubel, O., Beyer, A., Hauschildt, M., Zschech, E. In Situ Time-dependent Dielectric Breakdown in the Transmission Electron Microscope: A Possibility to Understand the Failure Mechanism in Microelectronic Devices. J. Vis. Exp. (100), e52447, doi:10.3791/52447 (2015).

View Video