Summary

Silicio metallico-ossido-semiconduttore Quantum Dots per singolo elettrone di pompaggio

Published: June 03, 2015
doi:

Summary

The fabrication process and experimental characterization techniques relevant to single-electron pumps based on silicon metal-oxide-semiconductor quantum dots are discussed.

Abstract

As mass-produced silicon transistors have reached the nano-scale, their behavior and performances are increasingly affected, and often deteriorated, by quantum mechanical effects such as tunneling through single dopants, scattering via interface defects, and discrete trap charge states. However, progress in silicon technology has shown that these phenomena can be harnessed and exploited for a new class of quantum-based electronics. Among others, multi-layer-gated silicon metal-oxide-semiconductor (MOS) technology can be used to control single charge or spin confined in electrostatically-defined quantum dots (QD). These QD-based devices are an excellent platform for quantum computing applications and, recently, it has been demonstrated that they can also be used as single-electron pumps, which are accurate sources of quantized current for metrological purposes. Here, we discuss in detail the fabrication protocol for silicon MOS QDs which is relevant to both quantum computing and quantum metrology applications. Moreover, we describe characterization methods to test the integrity of the devices after fabrication. Finally, we give a brief description of the measurement set-up used for charge pumping experiments and show representative results of electric current quantization.

Introduction

Silicon is the material of choice for most of the modern microelectronics. Its properties, combined with advanced lithographic techniques, have allowed the semiconductor industry to achieve very large-scale integration and deliver billions of transistors per chip. The metal-oxide-semiconductor (MOS) technology1 has been the key of this relentless technological progress2. In brief, it is based on a selectively doped Si substrate which is thermally oxidized to grow a high quality SiO2 gate oxide on which a metal gate electrode is deposited. Recently, it has been shown that the use of a stack of gate oxides could be beneficial3 . While present industry standards have reached minimum feature sizes for gate lengths below 20 nm, it is becoming increasingly evident that, at this level of miniaturization, detrimental quantum mechanical phenomena come into play that may complicate further downscaling4.

Remarkably, silicon is also an excellent host material to exploit the quantum properties of the electron charge and spin5. This has broadened its range of applicability to entirely new fields such as quantum computing6 and quantum electrical metrology7. Among other approaches5, the use of a multi-gate MOS technology8,9 has led to electrostatically-defined quantum dots (QD) whose occupancy can be controlled down to single-electron level10. Unlike the conventional MOS process where just one gate per transistor is needed1, these QDs are defined via a three-layer stack of Al/AlyOx gates which are used to selectively accumulate electrons at the Si/SiO2 interface, as well as provide lateral and vertical confinement11.

Although these devices had been originally developed for quantum computing applications, they have also recently shown promising performances as metrological tools12,13. In the field of quantum electrical metrology, a long-standing goal is the redefinition of the unit ampere in terms of the elementary charge (e) 14. In particular, the emphasis is on the realization of nano-scale charge pumps to clock the transfer of individual electrons timely and accurately. These devices generate macroscopic quantized electric currents, I=nef, where f is the frequency of an external driving oscillator and n is an integer. To date, the best performance has been achieved with a GaAs-based pump by yielding a current in excess of 150 pA with a relative uncertainty of 1.2 parts per million15. Recently, silicon MOS QDs have also stood out for the implementation of highly accurate single-electron pumps thanks to the capability of finely tuning the charge confinement13.

Here, we discuss the protocol used for the fabrication of silicon MOS QDs. Furthermore, the cryogenic set-up used to test the integrity of the devices after fabrication and the one to perform charge pumping experiments are described. Finally, representative measurements of quantized electric current are reported.

Protocol

Nota: Questo protocollo descrive le procedure utilizzate per fabbricare, pacchetto e testare pompe a singolo elettrone basati sul silicio tecnologia MOS QD. I passi descritti in sotto-sezioni 1 e 2 sono effettuate in un locale senza polvere ISO5, mentre quelli della sezione 3 sono eseguite in laboratori ISO6. Condizioni ambientali sono continuamente controllati. Valori nominali di temperatura e umidità sono fissati a 20 ± 1 ° C e 55% ± 5%, rispettivamente. 1. Microfabrication Campo Oxide Pulire il wafer per immersione nel seguente: etch Piranha (10 min), acqua deionizzata (DI) (10 min), soluzione RCA-2 (DI acqua 175 ml, 30 ml di HCl, H 2 O 2 30 ml a 100 ° C per 10 min), acqua deionizzata (5 min), fluoridrico (HF) Acido diluito in acqua 10: 1 (10 sec), acqua deionizzata (10 min). Usare indumenti protettivi quando si maneggiano HF (ad esempio, occhiali, grembiule e guanti di PVC, PVC). Procedere in ordine indicato. Posizionare la cialdain forno di ossidazione a 900 ° C e ossidare in passi come segue: O secco 2 (10 min), O bagnato 2 (40 min), O secca 2 (10 min), N 2 (15 min). Ohmici Contatti Effettuare fotolitografia e ossido di etch. Depositare uno strato di pochi nm di spessore del promotore di adesione esametildisilazano (HMDS) sulla superficie del wafer come segue: pre-cuocere su una piastra riscaldante a 110 ° C per 1 min, versare ~ 50 ml di HMDS in un bicchiere di vetro, porre il becher e la cialda nella camera a vuoto, evacuare e attendere 2 min. Spin un 2-4 micron di spessore strato di fotoresist su entrambi i lati posteriore e anteriore del wafer (3.000-5.000 rpm, 25-40 sec seconda dello spessore desiderato). Esporre alla luce ultravioletta nella mascherina di allineamento (10 mW / cm 2 per 4-10 secondi in base a resistere spessore). Post-cuocere su una piastra riscaldante a 110 ° C per 1 min. Sviluppare per 1-2 minuti, quindi risciacquare con acqua deionizzata. </li> Eseguire O attacco 2 plasma per 20 min (pressione = 340 mTorr; potenza incidente = 50 W; potenza riflessa <1 W). Ossido Etch in soluzione acida HF tamponata (15: 1, 4-5 min, etch tasso ≈ 20 nm / min a 30 ° C). Risciacquare con acqua deionizzata (5 min). Asciugare con N 2. Rimuovere photoresist per immersione in acetone. Risciacquare in isopropanolo (IPA), poi asciugare con N 2. Mettere wafer in forno a 1000 ° C con la fonte di fosforo (N 2 di flusso per 30-45 minuti a seconda della densità desiderata doping). Rimuovere strato di ossido contaminato con acido HF diluito in acqua (10: 1, 3-4 min, velocità di attacco ≈ 40 nm / min a 30 ° C), risciacquo in acqua deionizzata (10 min). Ossidare come in 1.1.2. Ossido di gate Ripetere i punti 1.2.1 e 1.2.2. Inserire wafer in forno dedicato a 800 ° C e ossidare in passi come segue: O secco 2 (10 min), dichloroethylene + O 2 (20 min), O secco 2 (10-30 minuti a seconda dello spessore dell'ossido desiderato), N 2 (15 min). Ohmici Contatti Metallizzazione Ripetere il punto 1.2.1. Inserire wafer in fascio elettronico evaporatore. Evaporare 100 nm di alluminio a 0,2-0,5 nm / sec e 5 x 10 -6 Torr. Bagnare il wafer in N-metil-2-pirrolidone (NMP) sulla piastra riscaldante a 80 ° C per 1 ora per sollevare il metallo. Utilizzare agitazione ultrasonica, se necessario. Sciacquare in IPA per 2 min. Asciugare con N 2. Anneal nel formare gas a 400 ° C per almeno 15 min. 2. Nanofabrication Wafer a dadi Spin qualsiasi resistere sul wafer di agire come rivestimento protettivo (tipo di parametri di polimeri e di filatura sono irrilevanti in questa fase). Utilizzare punta dicer diamante per tagliare il wafer in singoli chip di ~ 10 x 2 mm 2. Pulizia Mettere a bagno in NMP per 1 ora su piastra a 80 ° C, poi sciacquare in IPA per 2 min. Asciugare con N 2. Eseguire O attacco 2 plasma per 5 min (potenza incidente = 50 W; potenza riflessa <1 W). Spin pulito con acetone e IPA (7.500 giri, 30 sec) Marcatori allineamento patterning Spin polimetilmetacrilato (PMMA 950K) A4 resistono (5,000-7,500 rpm, 30 sec a seconda dello spessore desiderato). Spessore di lavoro tipico ≈ 150-200 nm. Bake resist su una piastra riscaldante a 180 ° C per 90 sec. Eseguire litografia a fascio elettronico. Utilizzare le seguenti condizioni di scrittura: fascio di energia = 30 keV, la corrente del fascio ≈ 30 Pa, la dose zona ≈ 500-650 uC / cm 2 a seconda delle dimensioni marcatori e resistere spessore. Sviluppare resist in una soluzione di metil isobutil chetone e IPA (1: 3) per 40-60 secondi, quindi risciacquare in IPA per 20 sec. Asciugare con N 2 pistola. Luogoil chip in fascio elettronico evaporatore. Far evaporare 15 nm di Ti e 65 nm di Pt a 0,2-0,4 nm / sec e 5 x 10 -6 Torr. Sollevare il metallo come al punto 1.4.3. Pulire circuito integrato come nei passaggi 2.2.2-2.2.3. Porta patterning Spin resistere come in 2.3.1. Eseguire litografia a fascio elettronico. Utilizzare le seguenti condizioni di scrittura per le funzioni ad alta risoluzione: fascio di energia = 30 keV, la corrente del fascio ≈ 30 pA, zona dosi ≈ 500-700 uC / cm 2. Scrivi condizioni per le caratteristiche a bassa risoluzione: fascio di energia = 15 keV, la corrente del fascio ≈ 10 nA, zona dosi ≈ 400-600 uC / cm 2. Sviluppare la resistere come in 2.3.3. Posizionare il chip in evaporatore termico. Evaporare Al a 0,1-0,4 nm / sec e 1-9 x 10 -6 mbar. Spessore del bersaglio varia a seconda del numero strato, come mostrato nella Figura 2B (25-35 nm Layer 1, 45-65 nm per Layer 2, 75-90 nm per Layer 3). Sollevare il metallo come al punto 1.4.3. Eseguire Al ossidazione su una piastra riscaldante a 150 ° C per 5-10 min. Clean di chip come al punto 2.2.3. Ripetere i passaggi 2.4.1-2.4.7 due volte per realizzare la pila di gate 3 strati. 3. Dispositivo Packaging Chip Dice come al punto 2.1 Sciacquare le conseguenti chip più piccoli in acetone e IPA per 2 min. Incollare un singolo pezzo di un circuito stampato (PCB) con PMMA A5. Attendere 2 minuti per asciugare. In alternativa, per migliorare termalizzazione, utilizzare argento epossidica. Caricare il PCB su un bonder cuneo e procedere con il cablaggio. 4. Dispositivo test di integrità Montare il PCB che contiene il dispositivo via cavo su una sonda tuffo. Collegare le linee elettriche di PCB a quelli della sonda dip. Inserire la sonda in un recipiente contenente elio liquido. Procedete lentamente per evitare un eccessivo elio b-off di olio. Per ciascuna porta periferica, collegare la corrispondente elettrodo a temperatura della sonda ad una unità sorgente-misura, mantenendo le altre porte a terra. Impostare la corrente rispetto a pochi nA. Spazzare la tensione da zero a 1,5 V a passi di 0,1 V, misurare e registrare la corrente. Collegare ciascuna linea di gate ad una sorgente di tensione CC variabile a batteria, la linea di source alla built-in ac sorgente di tensione di un amplificatore lock-in, e la linea di scarico alla porta di ingresso del blocco amplificatore. Misurare la sorgente di drenare conduttanza per diverse configurazioni di tensione di gate (vedere Figura 4). Globalmente decollare le tensioni applicate ai cancelli BL, BR, PL, SL e DL, mantenendo C1 e C2 porte a terra. Registrare le caratteristiche "turn-on" del dispositivo. Rampa individualmente giù ogni tensione di porta e registrare le caratteristiche dei cancelli 'pinch-off'. Regolare le tensioni di gate per definire elettrostaticamente un qudot ANTUM impostando le tensioni su BL e BR (PL, SL e DL) minore (maggiore) del turn-on tensioni. Registrare le caratteristiche del blocco Coulomb.

Representative Results

Fabrication dispositivo Il processo di microfabbricazione iniziale (comma 1 del protocollo) è eseguita su uno spot di 4 pollici ad alta purezza wafer di silicio (di tipo n concentrazione di drogaggio ≈ 10 12 cm 3; resistività> 10 kΩcm; spessore = 310-340 micron ). Lo scopo è di realizzare il substrato su cui verranno depositati gli elettrodi di gate. Questo substrato è costituito da una regione intrinseca ricoperto con ossido di campo (passo 1.1), una regione N + ricoperto con ossido di campo (passo 1.2), una regione intrinseca ricoperto con ossido di gate di alta qualità (passo 1.3), e metallizzato n + regione per contatti ohmici (passo 1.4). Figure 1A-D illustrano le fasi principali del processo di microfabbricazione. figura 1E mostra un'immagine microscopica di un campo substrato dopo microfabbricazione. La dimensione minima per litografia in questa fase è di circa 4 micron. Il SiO2 strato di ossido cresciuto nel passaggio 1.1 ha uno spessore nominale di 100 nm e viene usato come strato di passivazione. Le regioni di tipo N che agiscono come conduttori resistivi vengono ottenuti tramite fosforo diffusione. La densità di destinazione doping è di circa 19 Ottobre – 20 Ottobre cm 3. L'alta qualità SiO 2 che è selettivamente coltivata per essere utilizzato come dielettrico di gate ha uno spessore nominale di 5 nm. La densità dei difetti interfaccia di riferimento è <10 10 ev -1 cm -2 a metà gap. un forno tripla parete dedicato e appositamente costruito viene utilizzato per questo processo. sistema è progettato minimizzare la contaminazione da ioni di metalli pesanti alcalini mobili, nonché evitare che l'umidità diffondere nella camera ossidazione. formare i contatti elettrici, pastiglie alluminio sono depositati mediante evaporazione fascio elettronico parte delle regioni tipo n. il processo nanofabbricazione (vedi comma 2) eseguita sul chip substrates ottenuti dal taglio cubetti ​​wafer trattati al punto 1. l'obiettivo quello realizzare elettrodi gate scala nanometrica utilizzati definire elettrostaticamente qd mos. ogni corsa produce tipicamente 10-15 campioni dispositivo completo. scansione (sem) immagini 1-2 dispositivi partita solito effettuata confermare le fasi litografia ebl hanno avuto successo. poiché sem può iniettare cariche nel substrato o nelle porte metalliche causare perdite, solo piccolo numero controllata in modo, mentre resto testato elettricamente. dimensione minima questa fase circa 35 nm. ottenere una buona uniformità dei film al, metallo evaporato velocità lenta pochi angstrom > Gli esperimenti vengono eseguiti in una diluizione di plastica frigorifero self-made con una temperatura di base di circa 100 mK. Il criostato è in una camera a vuoto immerso in un bagno di 4.2 K elio. Le linee elettriche sono termalizzata al piatto 1 K, che è anche utilizzato per condensare il vapore in entrata 3He. Nella camera di miscelazione, il trasferimento endotermico di 3He atomi dalla 3He-fase ricca in fase 3He-diluita permette al sistema di raggiungere una temperatura di base di circa 100 mK. Come mostrato in figura 5, il frigorifero è dotato di 20 linee cc e 3 linee rf utilizzati per collegare l'elettronica a temperatura ambiente al dispositivo a bassa temperatura. Cinque delle linee DC sono cavi Thermocoax e 15 sono Twisted Pair fili del telaio. Queste linee collegano gli elettrodi di gate del campione da sorgenti di tensione dc alimentati a batteria. Divisori di tensione a RT sono utilizzati per ridurre il rumore elettrico sulle singole porte. Le linee RF sono cavi coassiali semirigidi che sono attenuato di 10 dB a 4 K per ridurre il rumore termico e dc bloccato a RT. Tali linee sono collegate alle guide d'onda complanari dei tee polarizzazione sul PCB. Un amplificatore di transimpedenza basso rumore e di un multimetro digitale vengono utilizzati per misurare la corrente generata dalla pompa. L'elettronica è collegata al dispositivo tramite optoisolatori a batteria per impedire la formazione di anelli di massa. I segnali di trasmissione RF sono prodotti da un generatore di forme d'onda arbitrarie cui massa è isolato da quello del criostato mediante un componente di blocco dc (vedi Figura 5). Il PCB contiene 16 linee in corrente continua pure e 4 linee di tee di polarizzazione utilizzate per combinare tensione CC e CA a bassa temperatura. Come mostrato nella Figura 3B, componenti discreti RC sono utilizzati per realizzare il collegamento a T (R = 100 k, C = 10 nF), e 50 Ω-abbinati guide d'onda complanari integrati vengono utilizzati per la propagazione di segnali ad alta frequenza. e_content "> Una volta che il dispositivo è a temperatura mK, le tensioni di gate sono regolati in modo che solo elettrone occupazione nel QD è raggiunto. In particolare, barriere tunnel sono formati sotto porte BL e BR, ed uno strato di accumulazione di elettroni è indotta sotto cancelli PL, SL e DL. A tal fine, le tensioni di gate barriera sono impostati sotto della loro accensione valori, mentre le porte di accumulo sono polarizzati ad una superiore alla tensione di attivazione. In questo modo un QD è formato sotto cancello PL e il suo sviluppo planare è controllata tramite cancelli C1 e C2 cui tensioni sono mantenuti sotto della loro accensione valori per indurre confinamento elettrostatica. Successivamente, i segnali RF sono accesi per modulare periodicamente la trasparenza della barriera tunnel (s), e l'elettrochimica potenziale del punto. singolo elettrone pompaggio si ottiene con una o due tensioni sinusoidali di guida. Nel caso di azionamento di un segnale, il segnale di pilotaggio viene applicato alla porta BL per modulare il potenziale della barriera tunnel alla mano sinistra -latoQD. Nel caso dell'azionamento due segnali, le eccitazioni ac sono applicati ai cancelli BL e PL per modulare le potenzialità di entrambi barriera sinistra e QD alla stessa frequenza, ma con diverse fasi e ampiezze. Questi ulteriori gradi di libertà permettono di regolare la direzione del trasferimento elettronico 13. Un processo iterativo è in genere necessario per regolare i principali parametri sperimentali (ad esempio, ampiezze di segnale auto rf / fasi e tensioni di gate dc) e raggiungere ottimale di quantizzazione corrente. Si noti che nessuno dei due protocolli di pompaggio ha bisogno di un pregiudizio pozzo-sorgente per eseguire trasferimenti di carica. Quindi, gli elettrodi di source e drain sono messi a terra durante il funzionamento della pompa. La Figura 6 mostra la caratteristica altipiani corrente multipli interi di ef ottenuti applicando un segnale di pilotaggio a due sinusoidale alla barriera di ingresso (BL) e lo stantuffo (PL) cancello. Questi dati sono presi ad una frequenza di pilotaggio relativamente bassa (10 MHz) per i quali il t sintonia dei parametri può essere eseguita rapidamente. In pratica, è desiderabile utilizzare la pompa diverse centinaia di MHz, tipicamente richiedono un gran ottimizzazione dei parametri più fine 13. Figura 1. Microfabrication. (A) Schema di fasi principali microfabbricazione. Cartoni animati, non sono in scala. (B) Realizzazione di una regione drogata per contatti ohmici. (C) Realizzazione di ossido di gate. (D) Metallizzazione di contatti ohmici. (E) microscopico immagine di un singolo campo su un chip dopo il processo di microfabbricazione è completato. La dimensione del campo è di 1,2 x 1,2 mm 2. Clicca qui per vedere una versione più grande di questa figura. tenda "fo: keep-together.within-page =" always "> Figura 2. Nanofabrication. (A) processo di fabbricazione per i singoli strati del cancello. Cartoni animati, non sono in scala. (B) Il cancello nanostruttura a 3 strati utilizzato per esperimenti di carica di pompaggio. Sinistra: SEM immagine di un dispositivo simile a quello utilizzato per le misurazioni. A destra:. Schema viste in sezione del dispositivo attraverso X-cut e Y-cut Cliccate qui per vedere una versione più grande di questa figura. Figura 3. Collegamenti elettrici al campione. (A) layout del circuito stampato. (B) ingrandimento di una regione della PCB con un bias-tee (sinistra) und circuito equivalente (a destra). (C) Un chip con 6 campi singoli incollati sul supporto del chip e fili di collegamento per il collegamento elettrico al PCB. (D) l'immagine al microscopio di un singolo campo dopo nanofabbricazione. (E) SEM immagine del layout porta al centro della regione di ossido di porta. Cliccate qui per vedere una versione più grande di questa figura. Figura 4. prove preliminari. (A) Corrente Source-drain ac (root mean square) in funzione delle diverse tensioni di gate. Le tracce sono misurati con un amplificatore lock-in con 50 mV RMS eccitazione a 113.17 Hz. Per tensione di gate individuo ripercorre le tensioni di gate rimanenti sono fissate a 2,0 V, ad eccezione di V C1 = <em> V C2 = 0,0 V. (B) Mappa dei colori di corrente source-drain in funzione di stantuffo tensione gate e source-drain tensione di polarizzazione. V SL = 1,5 V, V DL = 1,15 V, V BL = 0,78 V, V BR = 0,85 V, V C1 = V C2 = 0.0 V. Clicca qui per vedere una versione più grande di questa figura. Linee Figura 5. Schema della misurazione di set-up. Venti dc (verde) e tre linee coassiali RF (nero) Collegare l'elettronica RT al PCB. Lo scarico della pompa (viola) è collegato ad un amplificatore di transimpedenza e un multimetro digitale tramite un optoisolatore, mentre il contatto di source (rosso) è collegato a massa. Collegamenti di terra separati (indicated con simboli diversi) vengono utilizzati per la strumentazione elettronica e le linee elettriche criostato. Cliccate qui per vedere una versione più grande di questa figura. Figura 6. quantizzazione attuale. Corrente pompato in funzione di V PL per due-segnale pilota sinusoidale di f = 10 MHz applicato a cancelli BL e PL. Differenza di fase = 49 °, V RF PL = V RF BL = 0,31 V pp. La posizione ideale degli altipiani di pompaggio a multipli interi di ef sono mostrati come linee orizzontali rosse. Clicca qui per vedere una versione più grande di questa figura.

Discussion

Il protocollo riportato in questo documento descrive le tecniche per fabbricare silicio MOS QDs, nonché le procedure sperimentali per testare la loro integrità funzionale e azionabili come pompe a singolo elettrone. Sorprendentemente, adattando il disegno porta, lo stesso processo di fabbricazione può essere impiegato per produrre dispositivi adatti per quantum bit lettura e controllo 17, nonché carica pompaggio 12,13. Prendiamo atto che molti dei parametri di processo citati in questo articolo può variare a seconda degli strumenti di fabbricazione utilizzati (calibratura, la marca o modello), così come il tipo di substrato di silicio (spessori e densità sfondo doping). Quantitativi come la dose di esposizione litografia o tempo di sviluppo, acquaforte o la durata di ossidazione, devono essere accuratamente calibrati e testati per garantire un rendimento affidabile. Inoltre, è fondamentale per evitare la contaminazione incrociata derivante dall'uso degli stessi strumenti di fabbricazione per diversi processi. A tal fine, un numero di crpassaggi itical vengono eseguite con apparecchiature dedicate esclusivamente alla lavorazione del silicio come evaporatori metallo, forni ossigeno e bagni HF.

Più in generale, il silicio è disegno un crescente interesse come il materiale di scelta per realizzare pompe di carica 18-20. Ciò è in parte dovuto alla prospettiva attraente di implementare un nuovo standard di corrente elettrica basata quantistica con un processo di silicio compatibile industria. Questo potrebbe beneficiare di tecniche di integrazione ben consolidate e affidabili per la scalabilità, la parallelizzazione e l'overhead di guida. È importante sottolineare che una tecnologia full complementare MOS (CMOS), privi di metalli tradizionali come il materiale porta, ha dimostrato notevolmente ridotto le fluttuazioni di carica di fondo in dispositivi a singolo elettrone 21. Queste fluttuazioni possono essere dannose per il raggiungimento precisioni metrologici.

Il protocollo qui descritto limitato alla realizzazione di nano-dispositivi MOS con porte in metallo. Pertanto, per Achieve piena compatibilità industriale e ridurre le fluttuazioni di carica, sarebbe necessario modificare le tecniche di deposizione per cancelli e utilizzare altamente silicio policristallino drogato come materiale di gate.

In conclusione, le pompe MOS QD qui discussi hanno recentemente unito il vantaggio tecnologico di silicio con ottime prestazioni in termini di accurata generazione attuale 13. Questo deriva dalla elevata flessibilità del processo di progettazione e di fabbricazione, che permettono uno a impilare strati multipli cancello che conduce ad un sistema compatto e versatile. Il tunability multa risultante del confinamento elettrostatica del punto insieme con la possibilità di ridurre le fluttuazioni di carica di fondo pone le basi per superare le sfide principali osservati in altri semiconduttori pompe 22,23.

Divulgaciones

The authors have nothing to disclose.

Acknowledgements

Ringraziamo KY Tan, P. Sede e GC Tettamanzi per le discussioni utili. Noi riconosciamo il sostegno finanziario da parte del Consiglio australiano di ricerca (Grant No. DP120104710), l'Accademia di Finlandia (Grant No. 251.748, 135.794, 272.806) e il sostegno della australiano impianto di fabbricazione nazionale per la fabbricazione di dispositivi. AR riconosce il sostegno finanziario del regime di Concessione Ricercatore Università del New South Wales Early Career. È anche riconosciuto la fornitura di servizi e supporto tecnico di Aalto University di Micronova Nanofabrication Centre.

Materials

Silicon wafers TOPSIL 4 inch
Electron-beam lithography machine Raith gmbh Raith 150two
E-beam resist  MicroChem gmbh PMMA
Photoresist MicroChem gmbh nLOF2020
Mask aligner Quintel Q6000
Photoresist developer MicroChem gmbh AZ826MIF

Referencias

  1. Sze, S. M. . Physics of Semiconductor Devices. , 505-566 (1969).
  2. Moore, G. E. Cramming More Components onto Integrated Circuits. Electronics. 38, 114-117 (1965).
  3. Voisin, B., et al. Few-Electron Edge-State Quantum Dots in a Silicon Nanowire Field-Effect Transistor. Nano Lett. 14 (4), 2094-2098 (2014).
  4. Asenov, A., Brown, A. R., Davies, J. H., Savas, K., Slavcheva, G. Simulation of Instrinsic Parameter Fluctuations in Decananometer and Nanometer-Scale MOSFETs. IEEE Trans Electron Devices. 50 (9), 1837-1852 (2003).
  5. Zwanenburg, F. A., et al. Silicon Quantum Electronics. Rev. Mod. Phys. 85 (3), 961-1019 (2013).
  6. Ladd, T. D., et al. Quantum computers. Nature. 464 (7285), 45-53 (2010).
  7. Piquemal, F. Genevès, G. for a direct realization of the quantum metrological triangle. Metrologia. 37 (3), 207-211 (2000).
  8. Angus, S. J., Ferguson, A. J., Dzurak, A. S., Clark, R. G. Gate-Defined Quantum Dots in Intrinsic Silicon. Nano Lett. 7 (7), 2051-2055 (2007).
  9. Gonzalez-Zalba, M. F., Heiss, D., Podd, G., Ferguson, A. J. Tunable aluminium-gated single electron transistor on a doped silicon-on-insulator etched nanowire. Appl. Phys. Lett. 101 (10), 103504-103501 (2014).
  10. Lim, W. H., et al. Observation of the single-electron regime in a highly tunable silicon quantum dot. Appl. Phys. Lett. 95 (24), 242102-242103 (2009).
  11. Yang, C. H., et al. Spin-valley lifetimes in silicon quantum dots with tunable valley splitting. Nat. Commun. 4 (2069), (2013).
  12. Chan, K. W., et al. Single-electron shuttle based on a silicon quantum dot. Appl. Phys. Lett. 98 (21), 212103-212101 (2011).
  13. Rossi, A., et al. An accurate single-electron pump based on a highly tunable silicon quantum dot. Nano Lett. 14 (6), 3405-3411 (2014).
  14. Pekola, J. P., et al. Single-electron current sources: Toward a refined definition of the ampere. Rev. Mod. Phys. 85 (4), 1421-1472 (2013).
  15. Giblin, S. P., et al. Towards a quantum representation of the ampere using single electron pumps. Nat. Commun. 3, 930 (2012).
  16. Kouwenhoven, L. P., Austing, D. G., Tarucha, S. Few-electron quantum dots. Rep. Prog. Phys. 64 (6), 701-736 (2001).
  17. Veldhorst, M., et al. An addressable quantum dot qubit with fault-tolerant control fidelity. Nat. Nanotech. 9 (12), 981-985 (2014).
  18. Fujiwara, A., Nishiguchi, K., Ono, Y. Nanoampere charge pump by single-electron ratchet using silicon nanowire metal-oxide-semiconductor field-effect transistor. Appl. Phys. Lett. 92 (4), 042102-1-042102-3 (2008).
  19. Jehl, X., et al. Hybrid Metal-Semiconductor Electron Pump for Quantum Metrology. Phys. Rev. X. 3 (2), 021012-1-021012-7 (2013).
  20. Tettamanzi, G. C., Wacquez, R., Rogge, S. Charge pumping through a single donor atom. New J. Phys. 16 (6), 063036-1-063036-17 (2014).
  21. Koppinen, P. J., Stewart, M. D., Zimmerman, N. M. Fabrication and Electrical Characterization of Fully CMOS-Compatible Si Single-Electron Devices. IEEE Trans Electron Devices. 60 (1), 78-83 (2013).
  22. Fletcher, J. D., et al. Stabilization of single-electron pumps by high magnetic fields. Phys. Rev. B. 86 (15), 155311-1-155311-6 (2012).
  23. Kataoka, M., et al. Tunable Nonadiabatic Excitation in a Single-Electron Quantum Dot. Phys. Rev. Lett. 106 (12), 126801-1-126801-4 (2011).

Play Video

Citar este artículo
Rossi, A., Tanttu, T., Hudson, F. E., Sun, Y., Möttönen, M., Dzurak, A. S. Silicon Metal-oxide-semiconductor Quantum Dots for Single-electron Pumping. J. Vis. Exp. (100), e52852, doi:10.3791/52852 (2015).

View Video