Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabrication de basse température de nanotubes de carbone interconnexions verticales Compatible avec la technologie des semiconducteurs

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Le cuivre et le tungstène, les métaux qui sont actuellement utilisées pour les interconnexions à très grande échelle d'intégration (VLSI) la technologie state-of-the-art, se rapprochent de leurs limites physiques en termes de fiabilité et de conductivité électrique 1. Bien que les transistors-mise à l'échelle vers le bas améliore généralement leur performance, il augmente en fait la résistance et la densité de courant des interconnexions. Il en est résulté des interconnexions qui dominent la performance circuit intégré (IC) en termes de délai et de la consommation d'énergie 2.

Les nanotubes de carbone (CNT) ont été proposés comme alternative pour Cu et W métallisation, en particulier pour les interconnexions verticales (traversées) que CNT peuvent facilement été cultivées verticale 3. CNT a été démontré qu'ils ont une excellente fiabilité électrique, ce qui permet un jusqu'à 1000 fois plus élevée que la densité de courant Cu 4. En outre, la CNT ne souffrent pas de la surface et le grain diffusion de la frontière, ce qui augmente la resistivity de Cu à l'échelle du nanomètre 5. Enfin, CNT se sont révélés être d'excellents conducteurs thermiques 6, qui peuvent aider à la gestion thermique des puces VLSI à.

Pour une intégration réussie de la CNT dans la technologie VLSI, il est important que les processus de croissance pour la CNT est faite compatible avec la fabrication de semiconducteurs. Cela nécessite la faible croissance de la température de la CNT (<400 ° C) en utilisant des matériaux et des équipements qui sont considérées comme compatibles et évolutive pour la fabrication à grande échelle. Alors que de nombreux exemples de vias de test CNT ont été démontrés dans la littérature 7,8,9,10,11,12,13,14, la plupart d'entre eux utilisent Fe comme catalyseur qui est considéré comme un contaminant dans la fabrication IC 15. En outre, la température de croissance utilisée dans un grand nombre de ces ouvrages est beaucoup plus élevé que la limite supérieure de 400 ° C. De préférence CNT devrait même être cultivé en dessous de 350 ° C, afin de permettre l'intégration avec les diélectriques à faible kappa modernes ou souplesubstrats.

Ici, nous présentons une méthode évolutive pour la croissance de CNT à des températures aussi basses que 350 ° C en utilisant comme catalyseur Co 16. Cette méthode est d'intérêt pour la fabrication de différentes structures électriques constitués de alignés verticalement CNT dans les circuits intégrés, allant de l'interconnexion et des électrodes de supercondensateurs et des dispositifs à émission de champ. Le métal du catalyseur Co est souvent utilisé dans la fabrication de circuits intégrés pour la fabrication de siliciure de 17, tandis que l'étain est un matériau de barrière souvent utilisé 7. En outre, nous démontrons un procédé de fabrication de vias d'essai CNT, tandis que seulement en utilisant des techniques de fabrication de semi-conducteur standard. Grâce à cela, des trous d'interconnexion d'essai CNT sont fabriqués, contrôlés par microscopie électronique à balayage (SEM) et spectroscopie Raman, et caractérisé électriquement.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Attention: S'il vous plaît consulter toutes les fiches de données de sécurité des matériaux pertinents (FS) avant utilisation. Plusieurs des produits chimiques utilisés dans le processus de fabrication sont très toxiques et cancérigènes. Les nanomatériaux peuvent avoir des risques supplémentaires par rapport à leur homologue en vrac. S'il vous plaît utiliser toutes les pratiques de sécurité appropriées lorsque vous travaillez avec des équipements, des produits chimiques ou des nanomatériaux, y compris l'utilisation des contrôles d'ingénierie (hottes) et les équipements de protection individuelle (lunettes de sécurité, gants, vêtements de salle blanche).

1. Alignement Marker Définition pour la lithographie

  1. Commencez avec qualité industrielle simple face polie Si (100) avec des tranches n ou de type p dopage.
  2. Manteau de la tranche à 1,4 um de résine positive. Effectuez une hexaméthyldisilazane 90 sec (HMDS) de traitement à 130 ° C pour favoriser l'adhérence de la résine, suivi par un refroidissement de la plaquette sur une plaque froide, spin-coating à la vitesse appropriée (3500 rpm), et un 90 sec cuisson douce unet 95 ° C.
  3. L'utilisation d'un masque photo-lithographie et outil d'exposition exposer les repères d'alignement, la dose d'exposition de 120 mJ / cm 2.
  4. Effectuer un seul processus de développement flaque d'eau. Effectuer un 90 sec 115 ° post-exposition de C cuire, puis 60 secondes en utilisant le développement de développeur et 90 sec dur cuire au four à 100 ° C pour durcir la résine.
  5. Utilisation d'un microscope pour examiner si les ouvertures dans la résine sont des dimensions correctes.
  6. Etch 120 nm de Si en utilisant une gravure au plasma de chlore. Cette épaisseur donne un bon contraste pour les systèmes automatiques d'alignement de l'outil d'exposition utilisée dans ce travail. Par exemple en utilisant un plasma à couplage inductif (ICP): 20/40 SCCM O 2 / CF 4, 5 mTorr, 60/500 W plateau / puissance RF ICP, 10 sec oxyde percée attaque, suivi par 80/40 SCCM Cl 2 / HBr , 60 mTorr, 20/500 W plateau / puissance RF ICP, 35 sec Si graver.
  7. Utilisez un décapant de plasma d'oxygène pour éliminer la résine photosensible (1 kW, 400 sccm O 2 avec endpodétection d'int et 2 min surgravure). Comme la résine photosensible est durcie par le plasma normal solvant tel que l'acétone ne peut pas être utilisé.
  8. Nettoyer les plaquettes. Première les mettre pendant 10 min dans 99% de HNO 3, suivi d'un rinçage à l'eau DI jusqu'à la résistivité de l'eau est de 5 MQ (propre organique). Après ce nettoyage des plaquettes pendant 10 minutes à 65% de HNO 3 à 110 ° C, suivi d'un rinçage à l'eau déionisée jusqu'à ce que la résistivité de l'eau est 5 MQ (métal pur). Utilisez un sèche-rinceuse pour sécher les plaquettes.

2. fond métallique et diélectrique intercalaire dépôt

  1. Utilisation pulvérisation magnétron pour déposer la couche métallique inférieure par l'intermédiaire de l'essai. Un empilement de trois couches de métal doit être déposé: 500 nm de Ti, 50 nm de TiN, Ti et 100 nm. La première couche de Ti est de réduire la résistance de la pile, l'étain est la couche de support pour la croissance réelle CNT, et la partie supérieure de Ti est de protéger le TiN de plasma contre les dommages lorsque la gravure de la couche 12 de SiO 2 2, à nouveau à 350 ° C la température du substrat.
  2. Utilisation de dépôt chimique en phase vapeur assisté par plasma (PECVD), le dépôt d'une épaisse couche de SiO 2 à 1 um. Ici, l'orthosilicate de tétraéthyle (TEOS) est utilisé comme précurseur à une température de cylindre de 350 ° C.
    1. Vérifiez l'épaisseur de la couche de SiO 2 à l'aide d'un outil approprié, par exemple un réflectomètre ou ellipsomètre.
  3. Manteau de la tranche à 1,4 um de résine positive, en commençant par un traitement 90 sec HMDS à 130 ° C, suivi par un refroidissement de la plaquette sur une plaque froide, spin-coating à la vitesse appropriée (3500 rpm), et un 90 sec doux cuire au four à 95 ° C.
  4. L'utilisation d'un masque photo-lithographie et outil d'exposition, exposer le motif désiré d'ouvertures, qui seront plus tard gravé dans le SiO 2 to former les vias, alignés sur les repères d'alignement, la dose d'exposition de 140 mJ / cm 2.
  5. Effectuer un processus de développement de flaque d'eau simple à partir d'un 90 sec 115 ° post-exposition de C cuire, puis 60 secondes en utilisant le développement de développeur et 90 sec dur cuire au four à 100 ° C.
  6. Utilisation d'un microscope pour examiner si les ouvertures dans la résine sont des dimensions correctes et si la superposition des repères d'alignement est correct.
  7. Gravure plasma des ouvertures de contact dans le SiO 2. Par exemple, utiliser un graveur triode de plasma avec C 2 F 6 / CHF 3 36/144 sccm à 180 mTorr et 300 W de puissance RF. Si nécessaire, effectuer des tests de taux de gravure sur une pastille d'essai, afin de minimiser au cours de la gravure de 5% à 10% du temps.
    Remarque: Bien que le Ti est résistant à la gravure réactive dans cette chimie du fluor, l'exposition prolongée au plasma se traduira par gravure de la couche physique Ti. Si la couche de TiN est exposé au plasma cela aura un négatif influenCE sur la croissance de la CNT 12. Ne pas utiliser la gravure humide que cela se traduira par trop élargissement des ouvertures, ce qui rend la métallisation supérieure dans la partie 4 problématique.
  8. Retirer la couche sacrificielle Ti par gravure humide dans 0,55% de HF pendant 60 secondes. Après gravure rincer les tranches avec de l'eau DI jusqu'à la résistivité de l'eau est de 5 MQ et utiliser un sèche-rinceuse pour sécher les plaquettes.
    Remarque: En utilisant un microscope il peut être vérifié si la couche de Ti est gravée, la couche d'étain aura une couleur brun-doré tandis que le Ti est gris métallisé.

3. Catalyst dépôt et CNT croissance

  1. Évaporer 5 nm de Co à l'aide d'un évaporateur à faisceau électronique. Pomper au moins jusqu'à 2x10 -6 Torr, et chauffer les plaquettes à 60 ° C à l'aide de lampes sous vide avant le dépôt de supprimer tout film d'eau. La résine photosensible utilisée pour définir les ouvertures de contact est maintenu sur la plaquette de fournir des auto-alignement du catalyseur pour les ouvertures de contact dans le SiO 2.
  2. RetirerCo en dehors des ouvertures de contact par lift-off. Pour le CO, il a été constaté que le tétrahydrofuranne (THF) donne les meilleurs résultats lift-off et de la croissance à basse température. N-méthyl-2-pyrrolidone (NMP), qui a été précédemment utilisé pour le décollage après l'évaporation Fe, a été trouvé à des dommages une mesure de la Co trop tels à empêcher toute croissance CNT aligné. Mettez la plaque pendant 15 min dans un bain à ultrasons avec du THF à 35 ° C. Rincer à l'eau DI pendant 5 min et sécher à l'aide d'une centrifugeuse ou de l'azote pistolet.
  3. Inspectez la plaquette sous un microscope et vérifier résister à résidus. Si les résidus restent effectuer un traitement plus ultrasons dans le THF, et éventuellement utiliser un chiffon doux spécial pour fins lift-off pour essuyer manuellement loin résidus.
  4. Effectuer CNT croissance par dépôt chimique en phase vapeur basse pression (LPCVD). Utiliser la recette suivante: 8 min de pré-recuit à 350 ° C avec 700 sccm H 2 à 80 mbar, suivi d'une croissance CNT par addition de 50 sccm C 2 H 2. A 350 ° C, 60min de la croissance donne environ 1 um de CNT. Le cas échéant effectuer un essai de croissance de régler la hauteur, qui doit être la même épaisseur que la couche de SiO 2. Refroidir le réacteur et purger utilisant N 2.
  5. Utiliser un microscope électronique à balayage pour vérifier la hauteur de la CNT à l'intérieur des ouvertures de moins de 45 ° d'inclinaison, ou en préparant une section transversale.
  6. Inspecter les échantillons en utilisant la spectroscopie Raman pour déterminer la cristallinité de la CNT 18.

4. Topside métallisation

  1. Utilisez pulvérisation magnétron pour déposer le métal dessus. Comme Ti est un bon métal pour contacter CNT 19, premier pulvérisation 100 nm de Ti, suivie de 2 um d'Al (1% de Si) sans casser le vide.
  2. Manteau de la tranche à 3,1 um de résine photosensible positive avec une viscosité plus élevée, à commencer par un traitement 90 sec HMDS à 130 ° C, suivi par un refroidissement de la plaquette sur une plaque froide, spin-coating à 3000 tpm, et une cuisson douce de 90 secondes à 95° C.
  3. L'utilisation d'un masque photo-lithographie et outil d'exposition exposer le motif métallique supérieure alignée sur les repères d'alignement, la dose d'exposition de 420 mJ / cm 2, l'accent de -1.
  4. Effectuer un seul processus de développement flaque d'eau. Cela commence avec un 90 sec 115 ° post-exposition de C cuire, puis 60 secondes en utilisant le développement de développeur et 90 sec dur cuire au four à 100 ° C.
  5. Utilisation d'un microscope pour examiner si les lignes dans la réserve sont des dimensions correctes et si la superposition de marqueurs est correcte.
  6. Etch la pile Ti / Al en utilisant la gravure par plasma de chlore. Par exemple en utilisant un plasma à couplage inductif: 30/40 SCCM Cl 2 / HBr, 5 mTorr, 40/500 W Puissance Platen / ICP RF avec détection de point final et de 80% en utilisant surgravure 15/30 SCCM Cl 2 / HBr.
  7. Utilisez un décapant de plasma d'oxygène pour éliminer la résine photosensible (1 kW, 400 sccm O 2 avec détection de point final et 2 min surgravure). Si la couverture métallique ne soit pas complète savoir, il y a des trous d'épingle dans leCNT) utiliser un solvant organique (par exemple, NMP) pour enlever la résine photosensible afin de prévenir les dommages à plasma à la CNT.
  8. Nettoyer les plaquettes. Les mettre pendant 10 minutes à 99% de HNO 3, suivi d'un rinçage à l'eau déionisée jusqu'à ce que la résistivité de l'eau est 5 MQ (en propre organique). Utilisez un sèche-rinceuse pour sécher les plaquettes.

5. Mesures

  1. Utiliser un microscope électronique à balayage selon les instructions du fabricant pour vérifier la métallisation haut de plaquettes.
    Note:. Si nécessaire, la plaquette peut être clivée mécaniquement afin de vérifier la CNT complète via l'aide d'un échantillon inclinaison de 90 °, résultant en des images comme le montre la Figure 3 Comme les échantillons sont électriquement conductrice aucune des étapes de traitement supplémentaires doivent être utilisés et les échantillons peuvent être montés directement dans la MEB. En général, les hautes tensions d'accélération de 15 kV ou 20 peuvent être utilisés, mais si la couche de SiO 2 est en charge trop ce peut être réduite to 5 kV.
  2. Effectuez 4 points mesures sonde IV en utilisant une station de la sonde en combinaison avec un semi-conducteur analyseur de paramètres tel que décrit dans la figure 1 et dans Vollebregt et al. 16.
    Remarque: Normalement, un balayage de tension de -0,5 à 0,5 V est suffisante, comme la chute de potentiel sur une interconnexion est idéalement petite. En utilisant une configuration de sonde à 4 points la résistance des aiguilles de sonde et les fils de résistances de la configuration de contacts sont omis.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

La conception de la structure de mesure utilisée dans ce travail peut être trouvé dans la figure 1. En utilisant une telle structure de la mesure de la résistance de faisceau CNT et les résistances de contact métal-CNT peut être déterminée avec précision, comme sonde et fils résistances sont contournées. La résistance du faisceau est une mesure pour la qualité et la densité du faisceau CNT. Afin de déterminer les faisceaux de résistance de contact de différentes longueurs doit être mesurée.

Une image SEM typique du CNT augmenté à 350 ° C pendant 60 min prise du haut avant métallisation à 45 ° d'inclinaison est montré dans la figure 2. Une telle image est utile pour vérifier si le temps de la CNT de croissance est correctement réglée pour obtenir la même longueur que l'épaisseur de la couche de SiO 2. Une coupe transversale préparé par clivage mécanique inspecté par SEM de la même tranche après métallisation est représenté sur la Figure 3. Cela peut be utilisé pour déterminer l'alignement de la CNT, leur densité (par exemple, soit en comptant le nombre de CNT par unité de longueur), et si une haute résolution SEM est utilisé pour déterminer leur diamètre. De plus la surface de contact entre le CNT et les couches métalliques peut être étudiée.

Spectres Raman de Co-développée CNT à 350 ° C est affichée dans la figure 4. Spectroscopie Raman est une technique puissante pour étudier la cristallinité de la CNT 18, et peut par exemple être utilisé pour optimiser les paramètres de croissance CNT afin d'obtenir le plus haut qualité CNT. IV mesures ont été effectuées avec quatre structures de sondes ponctuelles et sont affichées sur la figure 5. Quand le comportement est linéaire IV indique un contact ohmique entre le CNT et les contacts métalliques. De la pente de la résistance électrique peut être déterminé. De la résistance et la dimension des faisceaux de la résistivité peut être calculée, pour ces faisceaux qui est comparée à la CNTla littérature sur la figure 6.

Figure 1
Figure 1. Conception de la structure de mesure de la sonde à 4 points utilisée dans ce travail. Dans la figure la couche jaune foncé indique le TiN, les tubes noirs les faisceaux de la CNT, et la couche métallique de l'empilement Ti et Al. La couche sacrificielle est Ti omis pour la clarté et l'oxyde est semi-transparent. Connexions de sonde pour mesures électriques de la sonde à 4 points sont indiquées. S'il vous plaît cliquer ici pour voir une version plus grande de cette figure.

Figure 2
Figure 2. Haut-vue image SEM d'un faisceau CNT. Cela montre une grande CNT faisceau 2 um grandi dans une ouverture de contact qui a été gravé à l'intérieurle SiO 2. Ce chiffre a été modifié depuis 16 ans, avec la permission de Elsevier. S'il vous plaît cliquez ici pour voir une version plus grande de cette figure.

Figure 3
Figure 3. SEM section du CNT par l'intermédiaire de la Croix-section d'un test 2 m de large et de 1 um de long CNT via. Préparé en utilisant clivage mécanique après métallisation. Ce chiffre a été modifié depuis 16 ans, avec la permission de Elsevier. S'il vous plaît cliquez ici pour voir une version plus grande de cette figure.

Figure 4
Figure 4. spectre Raman d'un faisceau CNT grandi en utilisant un Co t 350 ° C. Les noms des bandes Raman sont indiqués. La courbe noire affiche les données brutes de mesure. Pour toutes les bandes d'un raccord de Lorentz est effectuée (en pointillés verte courbes), sauf pour la bande D 'qui est monté par une gaussienne 18. S'il vous plaît cliquez ici pour voir une version plus grande de cette figure.

Figure 5
Figure 5. IV mesures de CNT vias d'essai avec des diamètres différents. Les symboles représentent les données de mesure, tandis que la ligne fixe indique un linéaires moindres carrés pour les données de mesure. Les résistances électriques des différents vias tels que déterminés à partir de la pente du raccord linéaire sont indiqués. Ce chiffre a été modifié depuis 16 ans, avec la permission de Elsevier.tp_upload / 53260 / 53260fig5large.jpg "target =" _ blank "> S'il vous plaît cliquer ici pour voir une version plus grande de cette figure.

Figure 6
Figure 6. Comparaison de la résistivité du faisceau CNT avec les valeurs de la littérature. La résistivité est calculé à partir de la résistance et de la via dimensions. Elle est comparée avec les valeurs de la littérature, et vias CNT fabriqué à différentes températures selon la méthode décrite dans ce travail. Ce chiffre a été modifié depuis 16 ans, avec la permission de Elsevier. S'il vous plaît cliquez ici pour voir une version plus grande de cette figure.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

Figure 1 affiche un aperçu schématique de la structure fabriquée dans ce travail, et qui a été utilisé pour les mesures de la sonde à 4 points. Comme le potentiel est mesuré par l'intermédiaire des sondes portant pas de courant, la chute de potentiel exact (VH-L) sur le faisceau de CNT central et ses contacts avec le métal peut être mesurée. Plus grand diamètre faisceaux CNT sont utilisés pour communiquer avec la couche d'étain fond des plots de contact, afin de réduire la résistance totale pour les sondes forçant actuelles et maximiser la chute de potentiel au cours de la CNT faisceau central.

Comme on peut le voir sur la figure 2, le CNT ont été cultivées avec succès dans les ouvertures gravées dans le SiO 2 avec une longueur à peu près la même que la profondeur du trou (1 um). Il est essentiel que la longueur de la CNT est à peu près la même que la profondeur du trou, afin d'obtenir le revêtement conforme de contact métallique supérieur. Les faisceaux apparaissent des Nations UniesIFORM, ce qui contribue également à revêtement conforme du métal. La rectitude et l'alignement vertical des tubes peuvent clairement être vu dans la section affichée dans la figure 3. En comptant, la densité du faisceau CNT a été estimé à environ 5x10 10 / cm 2 tubes. En utilisant la microscopie électronique en transmission que le diamètre moyen des tubes a été jugée 8 nm, comme cela a été montré par ailleurs 16. En raison des températures de croissance faibles les murs CNT contiennent de nombreux défauts faisant déterminer le nombre de murs difficiles. Les tubes semblent avoir un noyau creux, bien que les passages de bambou ont été observées. La coupe transversale montre également la couche de TiN de fond, et la couche sacrificielle Ti qui est partiellement éliminé en dessous de la SiO 2 au cours de la gravure par voie humide. Si les ouvertures sont placées ensemble fermé le temps de gravure de la couche sacrificielle Ti peut avoir à être optimisé pour minimiser oxyde par en dessous pour empêcher la délamination. En raison de la gravure à sec du trou, laespacement entre le SiO 2 et CNT bundle est minime, ce qui est essentiel pour empêcher le Ti Al pulvérisé et la formation de courts-circuits autour du faisceau CNT.

En utilisant les données Raman la cristallinité (ou qualité) de la CNT peut être étudiée. Comme les différentes bandes de Raman sont proches les uns des autres-déconvolution des bandes est nécessaire, comme décrit par ailleurs 18. D'après les données Raman de la figure 4, il est évident qu'un groupe D et D 'forte peut être observée, qui sont causés par la diffusion Raman avec des défauts, tandis que la bande G est lié à la liaison CC. Les deux autres groupes sont faibles caractéristiques Raman qui sont inclus pour un montage plus précis.

Il est connu que une basse température de croissance des résultats généraux dans une moindre qualité CNT 18. Habituellement, le D-dessus G ratio de l'intensité (I D / G) est utilisé pour évaluer la qualité des matériaux graphite, qui est de 1,1 dans la figure 4. Comme l'a êtreen témoigne, par exemple, Ferrari et Robertson 20, le soin doit être pris lors de l'utilisation que ce ratio de bande. Avec l'augmentation de la qualité de la CNT, la première I D / G rapport augmente, jusqu'à un certain degré de cristallisation soit atteint, après quoi le rapport diminue de façon monotone. En raison de la température de croissance très faible, la CNT dans ce travail semblent avoir une cristallinité dessous de ce seuil 16. Dans ces cas, la largeur à mi-hauteur de la bande de D peut être utilisé pour comparer des échantillons CNT fabriqués à différentes conditions de traitement 18. Il peut être prévu que la faible qualité de la CNT va influencer de manière significative les performances électriques.

A en juger par le comportement linéaire presque complète des caractéristiques IV de la figure 5, les contacts entre le CNT et les couches supérieure et inférieure sont métalliques ohmique. La résistance du faisceau diminue avec le diamètre, qui est à prévoir que plus CNT peut mener à paralLIE pour gros faisceaux. Le bon contact entre le CNT et les métaux est attribuée à l'utilisation de Ti 19, et de l'étain qui est plus résistante contre l'oxydation 21. En outre, on a trouvé qu'en raison de l'absence de toute étape de revêtement diélectriques du CNT après croissance (en utilisant par exemple spin-on glass), ce qui est souvent utilisé dans la littérature, en combinaison avec un polissage mécano-chimique (CMP) 22,23, la résistance de contact à la CNT est faible en raison de l'intégration des conseils de la CNT dans le métal supérieure 24.

Lorsque l'on compare les résistivités de la CNT regroupe avec la littérature, comme cela se fait dans la figure 6, les résultats sont parmi les valeurs moyennes dans la littérature. Toutefois, la température de croissance utilisée dans ce travail est bas record. Les résultats de Yokoyama et al., 13 est le plus faible résistivité rapportée dans la littérature, en utilisant seulement une température de 40 ° C de croissance ultérieure. Cependant, le matériel utilisé pour la coopération nominaledépôt de ticle dans leur travail est susceptible pas extensible à la fabrication de grand volume. Il est clair que la résistivité diminue avec l'augmentation de la température de croissance, ce qui peut être avantageux pour une application permettant des températures de croissance élevées. Lorsque l'on compare la résistivité de la CNT faisceaux d'interconnexion avec des métaux comme Cu (traditionnels 1,7 μΩ-cm), il apparaît que la réduction drastique de la résistivité est nécessaire. Amélioration de la qualité de la CNT et la densité de faisceau, en optimisant les conditions de croissance, sera nécessaire. Cela doit être fait sans augmenter la température de croissance, afin de permettre l'intégration avec des matériaux à faible kappa modernes et des substrats flexibles.

Nous avons ainsi démontré une technique pour intégrer basse température croissance et l'intégration CNT dans la fabrication de semi-conducteurs standard. Cette technique a été utilisée pour fabriquer des CNT par l'intermédiaire de structures d'essai et a été récemment appliqué à la fabrication de supercondensateurs 25 CNT </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Ingénierie numéro 106 nanotubes de carbone le dépôt chimique en phase vapeur des interconnexions fabrication semi-conducteur un catalyseur des circuits intégrés la microscopie électronique à balayage la spectroscopie Raman la caractérisation électrique
Fabrication de basse température de nanotubes de carbone interconnexions verticales Compatible avec la technologie des semiconducteurs
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter