Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Tillverkning av låg temperatur Nanorör Vertikala Kopplingar Kompatibel med Semiconductor Technology

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Koppar och volfram, metaller som för närvarande används för anslutningarna i state-of-the-art mycket storskaliga integration (VLSI) teknik, närmar sina fysiska gränser när det gäller tillförlitlighet och elektrisk ledningsförmåga 1. Medan ned-skalnings transistorer förbättrar i allmänhet deras prestanda, faktiskt ökar motståndet och strömtäthet av anslutningarna. Detta resulterade i sammanbinder dominerar den integrerade kretsen (IC) prestanda vad gäller förseningar och strömförbrukning 2.

Kolnanorör (CNT) har föreslagits som alternativ till Cu och W metallisering, särskilt för vertikala anslutningar (Vias) som CNT kan lätt odlats vertikal 3. CNT har visat sig ha utmärkt elektrisk tillförlitlighet, vilket gör att en upp till 1000 gånger högre strömtäthet än Cu 4. Dessutom behöver CNT inte lider av yta och korngränsspridning, vilket ökar resistivity Cu på nanometerskala 5. Slutligen, CNT har visat sig vara utmärkta termiska ledare 6, som kan hjälpa till vid värmehantering i VLSI chip.

För en framgångsrik integration av CNT i VLSI-teknik är det viktigt att tillväxtprocesser för CNT är förenlig med halvledartillverkning. Detta kräver tillväxt vid låg temperatur för CNT (<400 ° C) med användning material och utrustning som anses kompatibla och skalbar till storskalig tillverkning. Medan många exempel på CNT provnings vias har påvisats i litteraturen 7,8,9,10,11,12,13,14, de flesta av dessa använder Fe såsom katalysator, som betraktas som en förorening i IC-tillverkning 15. Dessutom är tillväxttemperaturen som används i många av dessa arbeten är mycket högre än den övre gränsen för 400 ° C. Helst CNT bör även odlas under 350 ° C, för att möjliggöra integration med moderna låg K-dielektrikum eller flexibelsubstrat.

Här presenterar vi en skalbar metod för att odla CNT vid så låga temperaturer som 350 ° C med hjälp av Co som katalysator 16. Denna metod är av intresse för tillverkning av olika elektriska strukturer som består av vertikalt inriktade CNT i integrerade kretsar, allt från samtrafik och elektroder till superkondensatorer och fältemissionsanordningar. Co katalysatormetall används ofta i IC-tillverkning för tillverkning av silicid s 17, medan TiN är ett ofta använt spärrmaterial 7. Dessutom visar vi en process för att framställa CNT prov vias medan endast med hjälp av tekniker från standardhalvledartillverkning. Med detta är CNT prov vior fabricerade, inspekterade med svepelektronmikroskopi (SEM) och Raman-spektroskopi, och elektriskt karakteriserades.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Varning: Rådgör med alla relevanta säkerhetsdatablad (SDB) före användning. Flera av de kemikalier som används i denna tillverkningsprocess är akut toxiska och karcinogena. Nanomaterial kan ha ytterligare risker i förhållande till sitt omfång motsvarighet. Använd alla lämpliga säkerhetsrutiner när du arbetar med utrustning, kemikalier eller nanomaterial, inklusive användning av tekniska kontroller (rök huva) och personlig skyddsutrustning (skyddsglasögon, handskar, renrumskläder).

1. Alignment Marker Definition för Litografi

  1. Börja med enkelsidigt polerade industriell kvalitet Si (100) wafers med antingen n eller p-typ dopning.
  2. Coat skivan med 1,4 um av positiv fotoresist. Utför en 90 sek hexametyldisilazan (HMDS) behandling vid 130 ° C för att gynna vidhäftning av fotoresisten, följt av kylning av skivan på en kall platta, spin-beläggning på lämplig hastighet (3500 rpm), och en 90 sek mjuk baka ent 95 ° C.
  3. Med hjälp av en fotolitografimask och exponeringsverktyg exponera riktmärkena, exponeringsdos 120 mJ / cm2.
  4. Utför en enda pöl utvecklingsprocess. Utför en 90 sek 115 ° C efter exponering baka, följt av 60 sek utveckling med framkallare och 90 sek hård grädda vid 100 ° C för härdning av resisten.
  5. Använd ett mikroskop för att inspektera om öppningarna i resisten är av rätt dimensioner.
  6. Etch 120 nm Si användning av klor plasmaetsning. Denna tjocklek ger god kontrast för automatiska system för exponeringsverktyg som används i detta arbete anpassningen. Man kan exempelvis använda en induktiv kopplad plasma (ICP): 20/40 sccm O 2 / CF 4, 5 mTorr, 60/500 W formbord / ICP RF-effekt, 10 sek oxid genombrott etsning, följt av 80/40 sccm CI2 / HBr 60 mTorr, 20/500 W plattan / ICP RF-effekt, 35 sek Si etch.
  7. Använd en syrgasplasma stripper att avlägsna fotoresist (1 kW, 400 sccm O2 med endpoint upptäckt och 2 min overetch). Såsom fotoresisten härdas genom plasmat normala lösningsmedel såsom aceton kan inte användas.
  8. Rengör skivorna. Först satte dem under 10 minuter i 99% HNO3, följt av sköljning i avjoniserat vatten tills resistivitet vattnet är 5 Mohm (organisk ren). Efter detta rena skivorna för 10 min i 65% HNO3 vid 110 ° C, följt av sköljning med avjoniserat vatten tills resistiviteten hos vatten är 5 Mohm (metall ren). Använd en rinser tumlaren för att torka skivorna.

2. Botten Metall och dielektrisk mellanskikts Deposition

  1. Använd magnetronförstoftning att deponera bottenmetallskiktet av testet via. En stapel av tre metallskikt måste deponeras: 500 nm av Ti, 50 nm av TiN, och 100 nm Ti. Det första Ti skiktet är att minska motståndet hos stapeln, är TiN själva stödlager för CNT tillväxt, och den övre Ti är att skydda TiN mot plasmaskada när etsning av SiOj två skikt 12 2, återigen vid 350 ° C substrattemperatur.
  2. Använda plasmaförstärkt CVD (PECVD), deponera en 1 pm tjockt skikt av SiO 2. Här tetraetylortosilikat (TEOS) används som föregångare vid en platt temperatur på 350 ° C.
    1. Kontrollera tjockleken på SiO 2 lager med hjälp av ett lämpligt verktyg, till exempel en reflektometer eller ellipsometer.
  3. Coat skivan med 1,4 um av positiv fotoresist, som börjar med en 90 sek HMDS behandling vid 130 ° C, följt av kylning av skivan på en kall platta, spin-beläggning på lämplig hastighet (3500 rpm), och en 90 sek mjuk baka vid 95 ° C.
  4. Med användning av en fotolitografimask och exponering verktyg, exponera det önskade mönstret av öppningar, vilket senare kommer att etsas in SiO 2 to bilda vior, anpassats till riktmärkena, exponeringsdos 140 mJ / cm2.
  5. Utför en enda pöl utvecklingsprocess som börjar med en 90 sek 115 ° C efter exponering baka, följt av 60 sek utveckling med framkallare och 90 sek hård grädda vid 100 ° C.
  6. Använd ett mikroskop för att inspektera om öppningarna i resisten är av rätt dimensioner och om överlägget till justeringsmarkeringarna är korrekt.
  7. Plasma etsa kontakt öppningar i SiO 2. Till exempel använda en triod plasma etsare med C 2 F 6 / CHF 3 36/144 sccm vid 180 mTorr och 300 W RF-effekt. Om det är nödvändigt, utföra etsningshastighetstesterna på en testskiva för att minimera över etsning till 5% -10% i tid.
    Anmärkning: Även om Ti är resistent mot reaktiv etsning i detta fluorkemi, kommer långvarig exponering för plasmat resultera i fysisk etsning av Ti-skiktet. Om TiN-skiktet exponeras för plasmat kommer detta att ha en negativ influenEG om tillväxten av CNT 12. Använd inte våtetsning, eftersom detta kommer att resultera i alltför stor breddning av öppningarna, vilket gör den övre metallise i del 4 problematisk.
  8. Ta bort offer Ti skiktet genom våtetsning i 0,55% HF under 60 sekunder. Efter etsning skölj wafers med avjoniserat vatten tills vattnet resistivitet är 5 Mohm och använda en rinser tumlaren för att torka skivorna.
    Obs! Om du använder ett mikroskop det kan kontrolleras om Ti skiktet etsas kommer TiN-skiktet har en guldbrun färg medan Ti är metalliskt grå.

3. Katalysator Deponering och CNT Tillväxt

  1. Avdunsta 5 nm av Co med hjälp av en e-beam förångare. Pumpa ner till åtminstone 2x10 -6 Torr, och värm skivorna till 60 ° C med hjälp av lampor under vakuum innan avsättning för att avlägsna eventuella vattenfilm. Den fotoresist används för att definiera kontaktöppningarna hålls på skivan för att ge själv anpassning av katalysatorn till kontakt öppningar i SiO 2.
  2. AvlägsnaCo utanför kontaktöppningarna med hiss-off. För Co fann man att tetrahydrofuran (THF) ger de bästa lift-off resultat och tillväxt vid låga temperaturer. N-metyl-2-pyrrolidon (NMP), som tidigare användes för lättning efter Fe indunstning, befanns skador en Co för sådan utsträckning att förhindra linje CNT tillväxt. Placera skivan i 15 minuter i ett ultraljudsbad med THF vid 35 ° C. Skölj med avjoniserat vatten under 5 minuter och torka med användning av en spinnanordning eller kväve pistol.
  3. Kontrollera skivan under ett mikroskop och kontrollera motstå rester. Om rester kvar utför en längre ultraljudsbehandling i THF, och eventuellt använda en speciell mjuk bomullstrasa för lift-off ändamål för att torka bort rester manuellt.
  4. Utför CNT tillväxt med hjälp av lågt tryck CVD (LPCVD). Använd följande recept: 8 min för-glödgning vid 350 ° C med 700 sccm H2 vid 80 mbar, följt av CNT tillväxt genom att addera 50 sccm C 2 H 2. Vid 350 ° C, 60min av tillväxt ger ungefär 1 | j, m för CNT. Om så är nödvändigt utföra ett test tillväxt för att avstämma höjd, som bör vara samma tjocklek som SiO 2 skiktet. Kyla ned reaktorn och rensa med hjälp av N2.
  5. Använd ett svepelektronmikroskop för att kontrollera höjden på CNT inuti öppningarna under 45 ° lutning, eller genom framställning av ett tvärsnitt.
  6. Inspektera prover med Ramanspektroskopi att bestämma kristalliniteten hos CNT 18.

4. Topside Metallise

  1. Använd magnetronförstoftning att deponera övre metall. Som Ti är en bra metall för att kontakta CNT 19 första spotta 100 nm Ti, följt av 2 pm Al (1% Si) utan att bryta vakuum.
  2. Coat skivan med 3,1 um av positiv fotoresist med högre viskositet, som börjar med en 90 sek HMDS behandling vid 130 ° C, följt av kylning av skivan på en kall platta, spin-beläggning vid 3000 rpm och en 90 sek mjuk grädda i 95° C.
  3. Med hjälp av en fotolitografimask och exponeringsverktyg exponera övre metallmönstret anpassas till riktmärkena, exponeringsdos 420 mJ / cm 2, fokus -1.
  4. Utför en enda pöl utvecklingsprocess. Det börjar med en 90 sek 115 ° C efter exponering baka, följt av 60 sek utveckling med framkallare och 90 sek hård grädda vid 100 ° C.
  5. Använd ett mikroskop för att inspektera om alla linjer i resisten är av rätt dimensioner och om överlägget till markörerna är korrekt.
  6. Etsa Ti / Al-stacken användning av klor plasmaetsning. Man kan exempelvis använda en induktiv kopplad plasma: 30/40 sccm CI2 / HBr, 5 mTorr, 40/500 W Platen / ICP RF-effekt med endpoint upptäckt och 80% overetch använder 15/30 sccm CI2 / HBr.
  7. Använd en syrgasplasma stripper att avlägsna fotoresist (1 kW, 400 sccm O2 med endpoint upptäckt och 2 min overetch). Om metall täckningen inte är fullständig (dvs. det finns hål runtCNT) använda ett organiskt lösningsmedel (t.ex. NMP) för att avlägsna den fotoresist för att förhindra plasmaskador CNT.
  8. Rengör skivorna. Sätt dem under 10 minuter i 99% HNO3, följt av sköljning med avjoniserat vatten tills resistivitet vattnet är 5 Mohm (organisk ren). Använd en rinser tumlaren för att torka skivorna.

5. Mätningar

  1. Använd ett svepelektronmikroskop i enlighet med tillverkarens instruktioner för att kontrollera den övre metallisering av skivorna.
    Anm.: Vid behov skivan mekaniskt kan klyvas för att kontrollera den fullständiga CNT via användning av en prov lutning av 90 °, vilket resulterar i bilder såsom visas i fig 3 Eftersom proverna är elektriskt ledande inga ytterligare behandlingssteg måste användas och proverna kan monteras direkt i SEM. I allmänhet kan hög accelerationsspänningar på 15 eller 20 kV användas, men om SiO 2 skiktet laddas upp för mycket detta kan reduceras to 5 kV.
  2. Utför 4-punktssond IV mätningar med en sond station i kombination med en halvledarparameter analysator såsom beskrivs i figur 1 och i Vollebregt et al., 16.
    Obs: Normalt en spänningssvep från -0,5 till 0,5 V är tillräcklig, eftersom potentialfallet över en sammankoppling idealt är liten. Genom att använda en 4-punkts sondinställnings kontaktresistansen hos sonden nålar och tråd resistanserna hos installationen är utelämnade.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

Utformningen av mätningsstruktur som används i detta arbete kan hittas i figur 1. Genom att använda en sådan struktur mätningen av CNT knippet beständighet och de metall CNT kontaktmotstånd kan bestämmas exakt, som prob och trådmotstånd kringgås. Motståndet av knippet är ett mått för kvaliteten och tätheten av CNT knippet. För att bestämma kontaktresistansen buntar av olika längd ska mätas.

En typisk SEM-bild för CNT odlades vid 350 ° C under 60 minuter tas från toppen före metallise vid 45 ° lutning är visad i fig 2. En sådan bild är användbart för att kontrollera om tillväxttiden för CNT är korrekt inställd för att erhålla samma längd som tjockleken på SiO 2 skiktet. Ett tvärsnitt framställd genom mekanisk klyvning inspekteras av SEM av samma skiva efter metallisering visas i fig 3. Detta kan be används för att bestämma inriktningen av CNT, deras densitet (till exempel vara att räkna antalet CNT per längdenhet), och om en hög upplösning SEM användes för att bestämma deras diameter. Även kontaktarean mellan CNT och metallskikten kan undersökas.

Raman-spektra av Co-odlade CNT vid 350 ° C visas i fig 4. Ramanspektroskopi är en kraftfull teknik för att undersöka kristalliniteten hos CNT 18, och kan till exempel användas för att optimera de CNT tillväxtparametrarna för att erhålla den högsta kvalitet CNT. IV mätningar utfördes med fyra punktsondstrukturer och visas i Figur 5. När IV beteende är linjär indikerar ohmsk kontakt mellan CNT och metallkontakterna. Från lutningen det elektriska motståndet kan bestämmas. Från resistansen och dimensionen på buntarna resistiviteten kan beräknas som för dessa CNT buntar jämförs medlitteraturen i fig 6.

Figur 1
Figur 1. Design av 4-punktssond mätning struktur som används i detta arbete. I figuren mörkgula skiktet indikerar TiN, de svarta rören CNT buntar, och metallskiktet Ti och Al stack. Offer Ti skiktet utelämnas för tydlighets skull och oxid är semi-transparent. Probe anslutningar för elektriska 4-punktssondmätningar anges. Klicka här för att se en större version av denna siffra.

Figur 2
Figur 2. Top-view SEM-bild av en CNT bunt. Detta visar en 2 fim bred CNT bunt odlas i en kontaktöppning som etsades inneSiO 2. Denna siffra har ändrats från 16, med tillstånd från Elsevier. Klicka här för att se en större version av denna siffra.

Figur 3
Figur 3. SEM tvärsnitt av CNT via. Tvärsnitt av en 2 pm bred och 1 pm lång CNT mäts via ställas med användning av mekanisk klyvning efter metallisering. Denna siffra har ändrats från 16, med tillstånd från Elsevier. Klicka här för att se en större version av denna siffra.

Figur 4
Figur 4. Raman-spektrum av en CNT bunt odlas med hjälp av Co en t 350 ° C. Namnen på Raman-band anges. Den svarta kurvan visar data råmätnings. För alla band en Lorentz montering utförs (grön streckad kurvor), med undantag för D 'band som monteras av en Gauss 18. Klicka här för att se en större version av denna siffra.

Figur 5
Figur 5. IV mätningar av CNT testa vias med olika diametrar. Symbolerna representerar mätdata, medan den heldragna linjen indikerar en linjär minsta kvadrat montering till mätdata. De elektriska resistanserna för de olika vior som bestäms från lutningen på den linjära kopplingen indikeras. Denna siffra har ändrats från 16, med tillstånd från Elsevier.tp_upload / 53260 / 53260fig5large.jpg "target =" _ blank "> Klicka här för att se en större version av denna siffra.

Figur 6
Figur 6. Jämförelse av CNT bunt resistivitet med värden från litteraturen. Resistiviteten beräknas från resistansen och via dimensioner. Den jämförs med värden från litteraturen och CNT vior tillverkas vid olika temperaturer med användning av den metod som beskrivs i detta arbete. Denna siffra har ändrats från 16, med tillstånd från Elsevier. Klicka här för att se en större version av denna siffra.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

Figur 1 visar en schematisk översikt över strukturen tillverkas i detta arbete, och som användes för sondmätningar 4-punkts. Eftersom potentialen mäts genom sonderna som bär någon ström, kan den exakta potentialfallet (Vn-VL) över den centrala CNT knippet och dess kontakter till metallen mätas. Större diameter CNT buntar används för att kontakta den nedre TiN-skiktet från kontaktplattorna, för att minska det totala motståndet för den aktuella tvingar prober och maximera potentialfallet över den centrala CNT knippet.

Såsom kan ses från figur 2, har CNT framgångsrikt vuxit inuti öppningarna etsade i SiOa 2 med en längd som är ungefär densamma som djupet av hålet (1 | j, m). Det är mycket viktigt att längden av CNT är ungefär densamma som djupet av hålet, för att uppnå konform beläggning av den övre metallkontakten. Buntarna visas uniform, som också hjälper till vid konform beläggning av metallen. Rakheten och vertikal inriktning av rören kan tydligt ses i tvärsnittet som visas i figur 3. Genom att räkna, var tätheten av CNT bunt uppskattas till cirka 5x10 10 tuber / cm2. Använda transmissionselektronmikroskopi medeldiametern för rören befanns vara 8 nm, vilket visades på andra ställen 16. På grund av de låga tillväxttemperaturer CNT väggarna innehåller många brister som gör att bestämma antalet väggar svåra. Rören verkar ha en ihålig kärna, även om bambu korsningar har observerats. Tvärsnittet visar även den nedre TiN-skiktet, och offer Ti skiktet som delvis avlägsnas under SiO 2 under våtetsningen. Om öppningar är placerade stängda tillsammans etsningstiden för offer Ti skiktet kan behöva optimeras för att minimera underetsnings att förhindra oxiddelaminering. På grund av den torretsning av hålet, denavståndet mellan SiO 2 och CNT bunt är minimal, vilket är nödvändigt för att förhindra att finfördelat Ti och Al bildas kortslutning runt CNT bunten.

Med hjälp av Raman data kristallinitet (eller kvalitet) av CNT kan undersökas. Eftersom de olika Raman-band är nära varandra när deconvolution av banden är nödvändigt, som beskrivs på annat håll 18. Från Raman data i fig 4 är det uppenbart att en stark D och D 'band kan observeras, vilka orsakas av Ramanspridning med defekter, medan G-bandet är relaterad till CC bindningen. De andra två banden är svaga Raman funktioner som ingår för mer exakt passform.

Det är känt att en låg tillväxttemperatur i allmänhet resulterar i en lägre CNT kvalitet 18. Vanligtvis D över G intensitetsförhållandet (I D / G) används för att bedöma kvaliteten på grafitmaterial, vilket är 1,1 i figur 4. Som har varasv framgår av till exempel Ferrari och Robertson 20, har att vara försiktig när man använder enbart detta band förhållande. Med ökande kvalitet CNT, först för I D / G-förhållandet ökar, tills en viss mängd kristallisa uppnås efter vilka förhållandet monotont. På grund av den mycket låga tillväxttemperatur, CNT i detta arbete verkar ha en kristallinitet under denna tröskel 16. I dessa fall kan en full bredd vid halva maximum av D-bandet kan användas för att jämföra CNT prover tillverkade vid olika processförhållanden 18. Det kan förväntas att den låga kvaliteten hos CNT tydligt påverkar den elektriska prestandan.

Att döma av den nästan fullständiga linjärt beteende av IV egenskaper i figur 5, kontakterna mellan CNT och de övre och nedre metallskikten är ohmsk. Motståndet av bunten minskar med diameter, som är att vänta eftersom fler CNT kan göra i Parallel för större buntar. Den goda kontakten mellan CNT och metallerna tillskrivs användningen av Ti 19 och TiN, som är mer motståndskraftiga mot oxidation 21. Dessutom fann vi att på grund av bristen av någon dielektriska täck stegen CNT efter tillväxt (användning av exempelvis spin-on-glas), något som ofta används i litteraturen i kombination med kemisk-mekanisk polering (CMP) 22,23, kontaktresistansen till CNT är låg på grund av inbäddning av CNT spetsar i den övre metallen 24.

Vid en jämförelse resistiviteterna av CNT buntar med litteratur, vilket görs i figur 6, resultaten är bland medelvärdena i litteraturen. Emellertid tillväxttemperaturen som används i detta arbete är rekordlåg. Resultaten av Yokoyama et al., 13 är de lägsta resistiviteten som rapporterats i litteraturen, med användning av endast en 40 ° C högre tillväxttemperatur. Men den utrustning som används för Co parkel nedfall i sitt arbete är sannolikt inte skalbar till stora volymer tillverkning. Helt klart är att resistiviteten minskar med ökande tillväxttemperatur, vilket kan vara fördelaktigt för applikation tillåter högre temperaturer tillväxt. När man jämför resistivitet CNT buntar med traditionella samtrafik metaller som Cu (1,7 μΩ-cm), är det uppenbart att en drastisk minskning av resistivitet krävs. Att förbättra kvaliteten på CNT och bunt densitet, genom att optimera tillväxtbetingelser, kommer att krävas. Detta måste göras utan att öka tillväxttemperaturen, för att möjliggöra integration med moderna låg K-material och flexibla substrat.

Vi har därmed visat en teknik för att integrera låg temperatur CNT tillväxt och integration i standardhalvledartillverkning. Denna teknik har använts för att tillverka CNT via provstrukturer och har nyligen använts för tillverkning av CNT superkondensatorer 25 </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Engineering Kolnanorör kemisk ångavsättning anslutningar halvledartillverkning katalysator integrerade kretsar svepelektronmikroskopi Raman-spektroskopi elektrisk karakterisering
Tillverkning av låg temperatur Nanorör Vertikala Kopplingar Kompatibel med Semiconductor Technology
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter