Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Herstellung von Niedertemperatur-Carbon Nanotube Vertikale Verbindungen Kompatibel mit Halbleitertechnik

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Kupfer und Wolfram, die Metalle, die derzeit für die Leiterbahnen in State-of-the-Art-Integrationsgrad (VLSI) Technologie verwendet werden, nähern sich ihre physikalischen Grenzen in Bezug auf Zuverlässigkeit und elektrische Leitfähigkeit 1. Während des Herunterskalierung Transistoren ihre Leistung im Allgemeinen verbessert, es tatsächlich erhöht den Widerstand und die Stromdichte der Leiterbahnen. Dies führte zu Verbindungen dominiert den integrierten Schaltkreis (IC) Leistung in Bezug auf Verzögerung und Stromverbrauch 2.

Carbon Nanotubes (CNT) sind als Alternative für Cu und W-Metallisierung vorgeschlagen worden, vor allem für vertikale Verbindungen (Vias) als CNT kann leicht vertikal 3 angebaut. CNT ist gezeigt worden, ausgezeichnete elektrische Zuverlässigkeit aufweisen, so dass eine bis zu 1000-mal höheren Stromdichte als Cu 4. Hinzu kommt, dass CNT nicht von der Oberfläche und Korngrenzenstreuung zu leiden, die Erhöhung wird die resistivity von Cu im Nanometerbereich 5. Schließlich CNT wurde gezeigt, daß eine ausgezeichnete thermische Leiter 6, der im Wärmemanagement in VLSI-Chips unterstützen kann.

Für eine erfolgreiche Integration von CNT in der VLSI-Technologie ist es wichtig, dass die Wachstumsprozesse für die CNT mit der Halbleiterherstellung kompatibel gemacht. Dies erfordert das Niedertemperaturwachstum von CNT (<400 ° C) unter Verwendung von Materialien und Ausrüstung, die als verträglich und skalierbar Großproduktion sind. Obwohl viele Beispiele von CNT Test Durchkontaktierungen sind in der Literatur 7,8,9,10,11,12,13,14 demonstriert worden, die meisten von ihnen verwenden Fe als Katalysator, der als eine Verunreinigung in der IC-Herstellung 15 gilt. Außerdem ist die Aufwachstemperatur in vielen dieser Arbeiten verwendet viel höher als die obere Grenze von 400 ° C. Vorzugsweise CNT sollte sogar unter 350 ° C gehalten werden, um die Integration mit modernen Nieder κ-Dielektrika oder flexible ermöglichenSubstraten.

Hier präsentieren wir eine skalierbare Methode zur wachsenden CNT bei Temperaturen bis zu 350 ° C unter Verwendung von Co als Katalysator 16. Diese Methode ist von Interesse für die Herstellung von verschiedenen elektrischen Strukturen, die aus vertikal ausgerichteten CNT in integrierten Schaltungen, die von Verbindungs- und Elektroden an Super-Kondensatoren und Feldemissionsvorrichtungen. Der Co-Katalysator Metall wird oft in der IC-Herstellung für die Herstellung von Silizid von 17 verwendet, während TiN ist eine häufig verwendete Sperrmaterial 7. Darüber hinaus zeigen wir ein Verfahren zur Herstellung CNT Test Vias während nur unter Verwendung von Techniken aus Standard-Halbleiterfertigung. Damit werden CNT Test Durchkontaktierungen hergestellt, durch Rasterelektronenmikroskopie (SEM) und Raman-Spektroskopie und elektrisch charakterisiert inspiziert.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Achtung: Bitte beachten Sie alle relevanten Sicherheitsdatenblätter (MSDS) vor dem Gebrauch. Einige der Chemikalien in diesem Herstellungsprozess verwendet werden, sind akut giftig und krebserregend. Nanomaterialien können zusätzliche Gefahren im Vergleich zu ihren Großgegenstück haben. Bitte verwenden Sie alle geeigneten Sicherheitspraktiken bei der Arbeit mit Geräten, Chemikalien oder Nanomaterialien, einschließlich der Verwendung von technischen Massnahmen verwendet (Abzug) und persönliche Schutzausrüstung (Schutzbrille, Handschuhe, Reinraumkleidung).

1. Alignment Marker Definition zu Lithos

  1. Beginnen Sie mit einseitig polierten industrieller Qualität Si (100) Wafer mit entweder n oder p-Dotierung.
  2. Mantel der Wafer mit 1,4 um von positiven Photoresist. Durchführen einer 90 sec Hexamethyldisilazan (HMDS) bei 130 ° C, um die Haftung des Photoresists, gefolgt von einem Abkühlen des Wafers auf eine kalte Platte, Spin-Beschichtung bei der entsprechenden Geschwindigkeit (3500 rpm) zu fördern, und eine 90 sec Softbake at 95 ° C.
  3. Unter Verwendung einer Photolithographiemaske und Belichtungswerkzeug setzen die Ausrichtungsmarkierungen, Belichtungsdosis 120 mJ / cm 2.
  4. Führen Sie eine einzelne Pfütze Entwicklungsprozess. Führen Sie eine 90 Sekunden 115 ° C Post-Exposure Bake, gefolgt von 60 Sekunden Entwicklung mit Entwickler und 90 sec Fest backen bei 100 ° C zum Aushärten des Resists.
  5. Verwenden Sie ein Mikroskop zu untersuchen, wenn die Öffnungen in der Resist sind die richtigen Abmessungen.
  6. Etch 120 nm von Si unter Verwendung von Chlor Plasmaätzen. Diese Dicke ergibt einen guten Kontrast für die automatische Ausrichtungssysteme der Belichtungswerkzeug in dieser Arbeit verwendet. Beispielsweise unter Verwendung eines induktiv gekoppelten Plasma (ICP): 20/40 sccm O 2 / CF 4, 5 mTorr, 60/500 W Platte / ICP HF-Leistung, 10 Sek Oxid Durchbruch Ätzen, gefolgt von 80/40 sccm Cl 2 / HBr , 60 mTorr, 20/500 W Platte / ICP HF-Leistung, 35 sec Si zu ätzen.
  7. Verwenden Sie ein Sauerstoffplasma Stripper um den Photoresist (1 kW, 400 sccm O 2 mit endpo entfernenint Erkennung und 2 min Überätzen). Als der Photoresist durch das Plasma normaler Lösungsmittel wie Aceton gehärtet werden können, nicht verwendet werden.
  8. Reinigen Sie die Scheiben. Legte sie zuerst für 10 min in 99% HNO 3, gefolgt von Spülen in DI-Wasser, bis der spezifische Widerstand des Wassers 5 MOhm (organisch sauber). Nach diesem Reinigen der Wafer 10 min lang in 65% HNO 3 bei 110 ° C durch Spülen mit entionisiertem Wasser und danach, bis der spezifische Widerstand des Wassers 5 MOhm (Metall sauber). Verwenden Sie einen Rinser Dryer, um die Wafer zu trocknen.

2. Bottom Metall- und dielektrischen Zwischenschichtabscheidung

  1. Verwenden Magnetronsputtern, um die untere Metallschicht des Tests via abzuscheiden. Ein Stapel von drei Metallschichten muss aufgebracht werden: 500 nm Ti, 50 nm TiN und 100 nm Ti. Die erste Ti-Schicht ist, um den Widerstand des Stapels zu verringern, ist die TiN die eigentliche Trägerschicht für CNT Wachstum und die obere Ti ist, die TiN gegen Plasmabeschädigung zu schützen, wenn das Ätzen der SiO 2 -Schicht 12 N 2, erneut bei 350 ° C Substrattemperatur.
  2. Unter Verwendung von Plasma-unterstützter chemischer Gasphasenabscheidung (PECVD), hinterlegt eine 1 um dicke Schicht aus SiO 2. Hier Tetraethylorthosilikat (TEOS) als Vorläufer bei einer Plattentemperatur von 350 ° C verwendet.
    1. Überprüfen Sie die Dicke der SiO 2 -Schicht mit einem geeigneten Werkzeug, beispielsweise einem Reflektometer oder Ellipsometer.
  3. Beschichten des Wafers mit 1,4 um aus positivem Photoresist, beginnend mit einem 90 sec HMDS-Behandlung bei 130 ° C, gefolgt von einem Abkühlen des Wafers auf eine kalte Platte, Spin-Beschichtung bei der entsprechenden Geschwindigkeit (3500 rpm) und einer 90 s Weich backen bei 95 ° C.
  4. Unter Verwendung einer Photolithographiemaske und Belichtungswerkzeug, setzen Sie das gewünschte Muster von Öffnungen, die später in die SiO 2 t geätzt werdeno die Durchkontaktierungen zu den Ausrichtungsmarkierungen ausgerichtet sind, Belichtungsdosis 140 mJ / cm 2.
  5. Führen Sie eine einzelne Pfütze Entwicklungsprozess, beginnend mit einem 90 s 115 ° C Post-Exposure Bake, gefolgt von 60 Sekunden Entwicklung mit Entwickler und 90 sec Fest backen bei 100 ° C.
  6. Verwenden Sie ein Mikroskop zu untersuchen, wenn die Öffnungen in der Resist sind die richtigen Maße und, wenn die Überlagerung auf die Ausrichtungsmarkierungen korrekt ist.
  7. Plasmaätzen der Kontaktöffnungen in der SiO 2. Verwenden Sie beispielsweise eine Triode Plasmaätzer mit C 2 F 6 / CHF 3 36/144 sccm bei 180 mTorr und 300 W HF-Leistung. Bei Bedarf nehmen Sie Ätzrate Tests auf einem Testwafer, um mehr zu minimieren Ätzen, um 5% -10% in der Zeit.
    Anmerkung: Obwohl das Ti ist beständig gegen reaktives Ätzen in dieser Fluorchemie, werden längere Exposition an das Plasma in der physikalischen Ätzung der Ti-Schicht führen. Wenn die TiN-Schicht dem Plasma ausgesetzt ist diese negativ influen habenCE mit dem Wachstum der CNT 12. Verwenden Sie keine Nassätzen, da dies zu viel Aufweitung der Öffnungen führen, so dass die obere Metallisierung teil 4 problematisch.
  8. Entfernen Sie die Opfer Ti-Schicht durch Nassätzen in 0,55% HF für 60 Sekunden. Nach dem Ätzen der Wafer gründlich mit DI-Wasser, bis der Wasserwiderstand 5 M & Omega; und mit einem Rinser Dryer, um die Wafer zu trocknen.
    Hinweis: Die Verwendung eines Mikroskops überprüft werden kann, wenn der Ti-Schicht geätzt werden kann, wird die TiN-Schicht eine goldbraune Farbe haben, während der Ti ist grau metallic.

3. Katalysator Deposition und CNT Wachstum

  1. Verdampfen 5 nm Co unter Verwendung einer Elektronenstrahlverdampfer. Abpumpen bis mindestens 2x10 -6 Torr und heizen die Wafer bis 60 ° C unter Verwendung von Lampen unter Vakuum vor der Abscheidung, jede Wasserfilm zu entfernen. Der Photoresist verwendet, um die Kontaktöffnungen zu definieren auf den Wafer gehalten wird, um eine Selbstausrichtung des Katalysators, der Kontaktöffnungen in der SiO 2 bereitzustellen.
  2. Entfernendie Co außerhalb der Kontaktöffnungen durch Abheben. Für Co wurde gefunden, daß Tetrahydrofuran (THF) ergibt die besten Ergebnisse Abheben und Wachstum bei niedrigen Temperaturen. N-Methyl-2-pyrrolidon (NMP), das zuvor für Abheben nach Verdampfen Fe verwendet wurde, um eine Beschädigung festgestellt das Co auch in einem solchen Ausmaß, jede ausgerichtet CNT Wachstum zu verhindern. Gesetzt den Wafer für 15 min im Ultraschallbad mit THF bei 35 ° C. Spülen mit DI-Wasser für 5 Minuten und trocknen unter Verwendung einer Schleuder oder Stickstoff Pistole.
  3. Untersuchen Sie den Wafer unter dem Mikroskop und überprüfen Lackreste. Wenn Rückstände bleiben führen eine längere Ultraschallbehandlung in THF und gegebenenfalls mit einem speziellen weichen Wattestäbchen für Lift-off-Zwecken manuell abzuwischen Rückstände.
  4. Zuführen CNT Wuchses mit Niederdruck-Gasphasenabscheidung (LPCVD). Verwenden des folgenden Rezepts: 8 min vorgeGlühen bei 350 ° C mit 700 sccm H 2 bei 80 mbar, gefolgt von CNT Wachstum durch Zugabe von 50 sccm C 2 H 2. Bei 350 ° C, 60min des Wachstums gibt etwa 1 & mgr; m von CNT. Falls erforderlich einen Test Wachstum zu stimmen die Höhe, die die gleiche Dicke wie der SiO 2 -Schicht sein sollte. Cool down des Reaktors und Spülen mit N 2.
  5. Verwenden Sie ein Rasterelektronenmikroskop, um die Höhe der CNT innerhalb der Öffnungen unter 45 ° Neigung, oder durch Herstellung eines Querschnitts zu überprüfen.
  6. Prüfen die Proben unter Verwendung von Raman-Spektroskopie, um die Kristallinität der CNT 18 bestimmen.

4. Topside Metallisierung

  1. Verwenden Magnetron-Sputtern, um die obere Metall abzuscheiden. Ti ist ein guter Metall zur Kontaktierung CNT 19 erster 100 nm Ti, gefolgt von 2 & mgr; m Al (1% Si) zu sputtern, ohne das Vakuum zu brechen.
  2. Beschichten des Wafers mit 3,1 um aus positivem Photoresist mit höherer Viskosität, beginnend mit einem 90 sec HMDS-Behandlung bei 130 ° C, gefolgt von einem Abkühlen des Wafers auf eine kalte Platte, Schleuderbeschichtung bei 3000 Upm und 90 s Weichbacken an 95° C.
  3. Unter Verwendung einer Photolithographiemaske und Belichtungswerkzeug setzen den oberen Metallmuster auf die Ausrichtungsmarkierungen ausgerichtet ist, Belichtungsdosis 420 mJ / cm 2, Fokus -1.
  4. Führen Sie eine einzelne Pfütze Entwicklungsprozess. Dies beginnt mit einer 90 Sek 115ºC post-exposure bake, gefolgt von 60 Sekunden Entwicklung mit Entwickler und 90 sec Fest backen bei 100 ° C.
  5. Verwenden Sie ein Mikroskop zu untersuchen, ob die Zeilen in der zu widerstehen der korrekten Abmessungen und wenn die Überlagerung auf die Markierungen korrekt ist.
  6. Ätzen der Ti / Al-Stack unter Verwendung von Chlor Plasmaätzen. Beispielsweise unter Verwendung eines induktiv gekoppelten Plasmas: 30/40 sccm Cl 2 / HBr, 5 mTorr, 40/500 W Platen / ICP HF-Leistung mit Endpunkterfassung und 80% Überätzen unter Verwendung von 15/30 sccm Cl 2 / HBr.
  7. Verwenden Sie ein Sauerstoffplasma Stripper um den Photoresist (1 kW, 400 sccm O 2 mit Endpunktdetektion und 2 min Überätzen) zu entfernen. Wenn die Metallabdeckung nicht vollständig ist (das heißt, es gibt kleine Löcher in der Nähe desCNT) verwenden ein organisches Lösungsmittel (zB NMP), um den Photoresist, um Plasma-Schäden an der CNT zu verhindern, entfernen.
  8. Reinigen Sie die Scheiben. Setzte sie 10 Minuten lang in 99% HNO 3, gefolgt von Spülen mit entionisiertem Wasser, bis der spezifische Widerstand des Wassers 5 MOhm (organische sauber). Verwenden Sie einen Rinser Dryer, um die Wafer zu trocknen.

5. Messungen

  1. Verwenden eines Rasterelektronenmikroskops gemß den Anweisungen des Herstellers, um die obere Metallisierung der Wafer zu überprüfen.
    . Hinweis: Bei Bedarf kann der Wafer mechanisch, um die komplette CNT über Verwendung einer Probe Neigung von 90 °, was zu Bildern überprüfen gespalten, wie in Abbildung 3 dargestellt werden, wie die Proben sind elektrisch leitfähig keine zusätzlichen Behandlungsschritte verwendet werden müssen und Die Proben können direkt in die SEM montiert werden. Im Allgemeinen können hohe Beschleunigungsspannungen von 15 bis 20 kV verwendet werden, aber wenn der SiO 2 -Schicht wird aufgeladen zu viel kann reduziert werden to 5 kV.
  2. Zuführen 4-Punkt-Sonde IV-Messungen unter Verwendung eines Probe-Station in Kombination mit einem Halbleiter Parameteranalyser wie in Figur 1 und in Vollebregt et al. 16 beschrieben.
    Hinweis: Normalerweise ist ein Spannungsdurchlauf von -0,5 bis 0,5 V ausreichend, da der Spannungsabfall über einen Verbindungsidealerweise klein ist. Durch die Verwendung einer 4-Punkt-Sonde Setup der Kontaktwiderstand der Sondennadeln und den Drahtwiderstände des Setup werden weggelassen.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

Die Konstruktion der Messstruktur in dieser Arbeit verwendet wird, kann in 1 gefunden werden. Durch Verwendung eines solchen Aufbaus der Messung der CNT Bündel Beständigkeit und Metall-CNT Kontaktwiderstände genau bestimmt werden kann, als Sonde und Drahtwiderstände umgangen werden. Der Widerstand des Bündels ist ein Maß für die Qualität und Dichte der CNT Bündel. Um den Kontaktwiderstand zu Bündeln unterschiedlicher Längen zu bestimmen sollte, gemessen werden.

Eine typische SEM-Bild CNT bei 350 ° C für 60 min von der Spitze vor der Metallisierung bei 45 ° Neigung aufgenommen angebaut wird in 2 gezeigt. Ein solches Bild ist nützlich für die Überprüfung, ob die Wachstumszeit der CNT korrekt um eingestellt erhalten die gleiche Länge wie die Dicke der SiO 2 -Schicht. Ein Querschnitt durch mechanische Spaltung durch SEM aus dem gleichen Wafer nach der Metallisierung inspiziert vorbereitet ist in Abbildung 3 dargestellt. Kann dieses Be verwendet, um die Ausrichtung des CNT zu bestimmen, deren Dichte (zum Beispiel sein Zählen der Anzahl von CNT pro Längeneinheit), und wenn ein hochauflösendes SEM verwendet wird, um deren Durchmesser zu ermitteln. Auch die Kontaktfläche zwischen der CNT und der Metallschichten untersucht werden.

Raman-Spektren von Co CNT-bei 350 ° C ist in 4 dargestellt. Die Raman-Spektroskopie ist eine leistungsfähige Technik, die Kristallinität der CNT 18 und können beispielsweise eingesetzt werden, um die CNT Wachstumsparameter, um den höchsten zu erlangen optimieren Qualität CNT. IV-Messungen wurden unter Verwendung von Vier-Punkt-Sondenstrukturen und sind in Abbildung 5 dargestellt. Wenn die IV Verhalten linear ist es anzeigt ohmschen Kontakt zwischen dem CNT und den Metallkontakten. Aus der Steigung kann der elektrische Widerstand ermittelt werden. Aus dem Widerstand und der Abmessung der Bündel der spezifische Widerstand berechnet werden, die für diese CNT Bündel verglichen wirdDie Literatur in 6.

Abbildung 1
Abbildung 1. Design der 4-Punkt-Sonde Messstruktur in dieser Arbeit verwendet. In der Figur sind die dunkelgelbe Schicht gibt die TiN, die schwarzen Röhren die CNT-Bundles und die metallische Schicht die Ti und Al-Stack. Die Opferschicht wird Ti der Übersichtlichkeit halber weggelassen und das Oxid ist halbtransparent. Fühleranschlüsse für die elektrische 4-Punkt-Sondenmessungen werden angezeigt. Bitte klicken Sie hier, um eine größere Version dieser Figur zu sehen.

Figur 2
Abbildung 2. Top-View-REM-Aufnahme eines CNT-Bundle. Dies zeigt eine 2 um breit CNT Bündel in einer Kontaktöffnung angebaut, die im Inneren geätzt wurdeder SiO 2. Diese Zahl hat sich von 16 von Elsevier geändert wurde, mit Genehmigung. Bitte klicken Sie hier, um eine größere Version dieser Figur zu sehen.

Figur 3
Abbildung 3. REM-Querschnitt der CNT über. Querschnitt durch eine 2 & mgr; m breit und 1 & mgr; m langen CNT Test über mit mechanischen Spaltung nach der Metallisierung hergestellt. Diese Zahl hat sich von 16 von Elsevier geändert wurde, mit Genehmigung. Bitte klicken Sie hier, um eine größere Version dieser Figur zu sehen.

Figur 4
Abbildung 4. Raman-Spektrum eines CNT Bündel aufgewachsen mit einer Co t 350ºC. Die Namen der Raman-Banden sind angegeben. Die schwarze Kurve zeigt die rohen Messdaten. Für alle Bänder ein Lorentz-Fitting durchgeführt wird (grüne gestrichelte Kurven), mit Ausnahme der D 'Band, die durch eine Gauß-18 ausgestattet ist. Bitte klicken Sie hier, um eine größere Version dieser Figur zu sehen.

Figur 5
Figur 5. IV Messungen CNT Test Vias mit unterschiedlichen Durchmessern. Die Symbole stellen die Messdaten, während die durchgezogene Linie zeigt eine lineare kleinste Quadrate an die Messdaten. Die elektrischen Widerstände der verschiedenen Vias aus der Steigung des linearen Anpassung bestimmt sind angegeben. Diese Zahl hat sich von 16 modifiziert wurde, mit Genehmigung von Elsevier.tp_upload / 53260 / 53260fig5large.jpg "target =" _ blank "> Bitte klicken Sie hier, um eine größere Version dieser Figur zu sehen.

Figur 6
Figur 6. Vergleich CNT Bündel Widerstand mit Werten aus der Literatur. Der spezifische Widerstand wird aus dem Widerstand und der über Abmessungen berechnet. Es wird mit den Werten aus der Literatur verglichen und CNT Vias bei verschiedenen Temperaturen unter Verwendung der in dieser Arbeit beschriebenen Verfahren hergestellt. Diese Zahl hat sich von 16 von Elsevier geändert wurde, mit Genehmigung. Bitte klicken Sie hier, um eine größere Version dieser Figur zu sehen.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

Figur 1 zeigt einen schematischen Überblick über den Aufbau in dieser Arbeit hergestellt ist, und die in dem 4-Punkt-Sondenmessungen verwendet wurde. Indem das Potential über die Sonden tragenden kein Strom gemessen wird, kann die genaue Potentialabfall (V H -V L) über dem zentralen CNT Bündels und seine Kontakte an die Metall gemessen werden. Größeren Durchmesser CNT Bündel werden verwendet, um die untere TiN-Schicht von den Kontaktflächen zu kontaktieren, um den Gesamtwiderstand für den Strom zwingen Sonden und zur Maximierung des Spannungsabfalls über dem zentralen CNT Bündel.

Wie aus Figur 2 ersichtlich ist, wurden die CNT erfolgreich innerhalb der Öffnungen in dem SiO 2 mit einer Länge, die ungefähr gleich der Tiefe der Bohrung (1 & mgr; m) geätzt gezüchtet. Entscheidend ist, dass die Länge des CNT ist in etwa die gleiche wie die Tiefe des Lochs, um eine konforme Beschichtung der oberen Metallkontakt zu erzielen. Die Bündel erscheinen uniform und vereinfacht auch in konforme Beschichtung des Metalls. Die Geradheit und vertikale Ausrichtung der Rohre können im Querschnitt in Abbildung 3 dargestellt deutlich erkennbar. Durch das Zählen wurde die Dichte des CNT Bündel auf etwa 5x10 10 Röhrchen / cm 2 betragen. Verwendung von Transmissionselektronenmikroskopie den durchschnittlichen Durchmesser der Rohre wurde festgestellt, 8 nm, wie an anderer Stelle 16 gezeigt wurde. Aufgrund der niedrigen Wachstumstemperaturen die CNT-Wänden enthalten viele Fehler machen Bestimmung der Anzahl von Wänden schwierig. Die Rohre scheinen einen hohlen Kern aufweisen, obwohl Bambusgängen beobachtet. Der Querschnitt zeigt auch die Boden TiN-Schicht und der Opferschicht, die Ti beim Nassätzen teilweise unterhalb der SiO 2 entfernt wird. Wenn Öffnungen, platziert sind geschlossen zusammen die Ätzzeit des Opfer Ti Schicht kann optimiert werden, um Unterätzung minimieren Oxid Delaminierung zu verhindern. Aufgrund des Trockenätzens des Lochs, dasAbstand zwischen der SiO 2 und CNT-Bundle ist minimal, die unerlässlich ist, um die gesputterten Ti und Al die Bildung von Kurzschlüssen auf der CNT-Bündel zu verhindern.

Verwendung der Raman-Daten die Kristallinität (oder der Qualität) des CNT können untersucht werden. Da die verschiedenen Raman-Banden nahe beieinander-anderen Entfaltung der Bands ist notwendig, da an anderer Stelle 18 beschrieben. Aus den Raman-Daten in 4 ist es offensichtlich, dass eine starke D und D 'Band beobachtet werden kann, die durch Raman-Streuung hervorgerufen werden, mit Defekten, während der G-Bande an der CC-Bindung stehen. Die beiden anderen Bands sind schwache Raman-Merkmale, die für eine genauere Pass enthalten sind.

Es ist bekannt, dass eine niedrige Wachstumstemperatur in der Regel zu einer geringeren CNT Qualität 18. Normalerweise der D über G-Intensitätsverhältnis (I D / G) wird verwendet, um die Qualität der graphitische Materialien, die 1.1 in Figur 4 zu beurteilen. Wie aufweisten, indem zum Beispiel Ferrari und Robertson 20 gezeigt wird, ist darauf zu, wenn nur diese Band Verhältnis übernommen werden. Mit zunehmender Qualität des CNT wird zuerst die I D / G-Verhältnis erhöht, bis eine bestimmte Menge der Kristallisation erreicht ist, wonach das Verhältnis monoton abnimmt. Aufgrund der sehr geringen Wachstumstemperatur, der CNT in dieser Arbeit scheinen eine Kristallinität unterhalb dieser Schwelle 16 haben. In diesen Fällen die volle Breite beim halben Maximum der D-Bande kann verwendet werden, um CNT Proben bei unterschiedlichen Prozessbedingungen 18 hergestellt vergleichen. Es kann erwartet werden, dass die niedrige Qualität der CNT deutlich die elektrische Leistung zu beeinflussen.

Wie aus der fast vollständigen linearen Verhalten der IV-Kennlinie in 5 gezeigt, sind die Kontakte zwischen der CNT und der oberen und unteren Metallschichten ohmschen. Der Widerstand des Bündels mit einem Durchmesser, der als weitere CNT in paral leiten erwartende abnimmtlel für größere Pakete. Der gute Kontakt zwischen dem CNT und den Metallen ist die Verwendung von Ti 19 zugeschrieben wird, und TiN, die widerstandsfähiger gegen Oxidation 21. Außerdem haben wir festgestellt, dass aufgrund des Fehlens von irgendeinem dielektrischen Abdeckung Schritte des CNT nach dem Wachstum (unter Verwendung beispielsweise Spin-on-Glas), was in der Literatur häufig in Kombination mit chemisch-mechanisches Polieren (CMP) 22,23 verwendet wird, der Kontaktwiderstand an den CNT ist aufgrund der Einbettung der CNT Spitzen in der oberen Metall 24.

Bei einem Vergleich der spezifischen Widerstände der CNT Bündeln mit der Literatur, wie in 6 durchgeführt wird, sind die Ergebnisse unter den Durchschnittswerten in der Literatur. Ist die Wachstumstemperatur in dieser Arbeit jedoch Rekordtief. Die Ergebnisse Yokoyama et al. 13 sind die niedrigsten Widerstand in der Literatur berichtet wird, unter Verwendung nur einer 40 ° C höheren Wachstumstemperatur. Allerdings ist die Ausrüstung für die Co par verwendetkel Abscheidung in ihrer Arbeit ist wahrscheinlich, nicht auf große Serienfertigung skalierbar. Eindeutig der Widerstand nimmt mit zunehmender Wachstumstemperatur, die für die Anwendung, mit höheren Wachstumstemperaturen vorteilhaft sein kann. Beim Vergleich des spezifischen Widerstands des CNT bündelt mit traditionellen Verbindungsmetalle wie Cu (1,7 μΩ-cm), ist es offensichtlich, dass eine drastische Verringerung des spezifischen Widerstands erforderlich ist. Die Verbesserung der Qualität der CNT und der Bündeldichte, durch Optimierung der Wachstumsbedingungen erforderlich. Dies muss ohne Erhöhung der Wachstumstemperatur durchgeführt werden, um die Integration mit modernen Nieder κ Materialien und flexiblen Substraten zu ermöglichen.

Damit haben wir gezeigt, eine Technik für die Integration von Niedertemperatur-CNT Wachstum und die Integration in Standard-Halbleiterfertigung. Diese Technik wurde verwendet, um CNT über Teststrukturen herzustellen und hat vor kurzem für die Herstellung von CNT Superkondensatoren 25 angewendet worden </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Engineering Ausgabe 106 Kohlenstoffnanoröhren chemische Dampfabscheidung Verbinder der Halbleiterfertigung der Katalysator integrierte Schaltungen Rasterelektronenmikroskopie Ramanspektroskopie elektrische Charakterisierung
Herstellung von Niedertemperatur-Carbon Nanotube Vertikale Verbindungen Kompatibel mit Halbleitertechnik
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter